Searched refs:InitState (Results 1 - 13 of 13) sorted by relevance

/external/srec/tools/thirdparty/OpenFst/fst/lib/
H A Ddfs-visit.h43 // bool InitState(StateId s, StateId root);
103 dfs = visitor->InitState(root, root);
136 dfs = visitor->InitState(arc.nextstate, root);
H A Dtopsort.h49 bool InitState(StateId s, StateId r) { return true; } function in class:fst::TopOrderVisitor
H A Dconnect.h74 bool InitState(StateId s, StateId root) { function in class:fst::SccVisitor
H A Dminimize.h325 bool InitState(StateId s, StateId root) { function in class:fst::AcyclicMinimizer::HeightVisitor
/external/v8/src/arm/
H A Dcodegen-arm.h40 enum InitState { CONST_INIT, NOT_CONST_INIT }; enum in namespace:v8::internal
102 void SetValue(InitState init_state);
284 void StoreToSlot(Slot* slot, InitState init_state);
H A Dcodegen-arm.cc2468 void CodeGenerator::StoreToSlot(Slot* slot, InitState init_state) {
4415 void Reference::SetValue(InitState init_state) {
/external/v8/src/ia32/
H A Dcodegen-ia32.h40 enum InitState { CONST_INIT, NOT_CONST_INIT }; enum in namespace:v8::internal
103 void SetValue(InitState init_state);
431 void StoreToSlot(Slot* slot, InitState init_state);
H A Dcodegen-ia32.cc4339 void CodeGenerator::StoreToSlot(Slot* slot, InitState init_state) {
7120 void Reference::SetValue(InitState init_state) {
/external/v8/src/x64/
H A Dcodegen-x64.h40 enum InitState { CONST_INIT, NOT_CONST_INIT }; enum in namespace:v8::internal
104 void SetValue(InitState init_state);
432 void StoreToSlot(Slot* slot, InitState init_state);
H A Dcodegen-x64.cc4664 void CodeGenerator::StoreToSlot(Slot* slot, InitState init_state) {
6177 void Reference::SetValue(InitState init_state) {
/external/v8/src/mips/
H A Dcodegen-mips.h41 enum InitState { CONST_INIT, NOT_CONST_INIT }; enum in namespace:v8::internal
/external/chromium/base/third_party/symbolize/
H A Ddemangle.cc174 static void InitState(State *state, const char *mangled, function
1225 InitState(&state, mangled, out, out_size);
/external/bluetooth/glib/gobject/
H A Dgtype.c207 /* The InitState enumeration is used to track the progress of initializing
220 } InitState; typedef in typeref:enum:__anon621
280 InitState init_state;
1247 InitState class_state = node->data->class.init_state;

Completed in 300 milliseconds