Searched refs:Write (Results 1 - 13 of 13) sorted by relevance

/frameworks/base/tools/aidl/
H A DAST.h30 // Write the modifiers that are set in both mod and mask
39 virtual void Write(FILE* to) = 0;
45 virtual void Write(FILE* to) = 0;
54 virtual void Write(FILE* to);
70 void Write(FILE* to);
83 void Write(FILE* to);
98 virtual void Write(FILE* to);
104 virtual void Write(FILE* to) = 0;
113 virtual void Write(FILE* to);
125 virtual void Write(FIL
[all...]
H A DAST.cpp41 arguments[i]->Write(to);
81 Field::Write(FILE* to) function in class:Field
109 LiteralExpression::Write(FILE* to) function in class:LiteralExpression
157 Variable::Write(FILE* to) function in class:Variable
181 FieldVariable::Write(FILE* to) function in class:FieldVariable
184 this->object->Write(to);
206 StatementBlock::Write(FILE* to) function in class:StatementBlock
211 this->statements[i]->Write(to);
238 ExpressionStatement::Write(FILE* to) function in class:ExpressionStatement
240 this->expression->Write(t
263 Assignment::Write(FILE* to) function in class:Assignment
330 MethodCall::Write(FILE* to) function in class:MethodCall
356 Comparison::Write(FILE* to) function in class:Comparison
375 NewExpression::Write(FILE* to) function in class:NewExpression
393 NewArrayExpression::Write(FILE* to) function in class:NewArrayExpression
419 Ternary::Write(FILE* to) function in class:Ternary
447 Cast::Write(FILE* to) function in class:Cast
473 VariableDeclaration::Write(FILE* to) function in class:VariableDeclaration
498 IfStatement::Write(FILE* to) function in class:IfStatement
522 ReturnStatement::Write(FILE* to) function in class:ReturnStatement
539 TryStatement::Write(FILE* to) function in class:TryStatement
556 CatchStatement::Write(FILE* to) function in class:CatchStatement
577 FinallyStatement::Write(FILE* to) function in class:FinallyStatement
599 Case::Write(FILE* to) function in class:Case
627 SwitchStatement::Write(FILE* to) function in class:SwitchStatement
673 Method::Write(FILE* to) function in class:Method
756 Class::Write(FILE* to) function in class:Class
833 Document::Write(FILE* to) function in class:Document
[all...]
H A Dgenerate_java.cpp649 document->Write(to);
/frameworks/compile/libbcc/lib/ExecutionEngine/
H A DFileHandle.h29 Write = 1, enumerator in enum:bcc::OpenMode::ModeType
H A DScript.cpp410 if (objFile.open(objPath.c_str(), OpenMode::Write) >= 0 &&
411 infoFile.open(infoPath.c_str(), OpenMode::Write) >= 0) {
/frameworks/ex/variablespeed/jni/
H A Dring_buffer.h65 void Write(const float* samples, int num_frames);
H A Dsola_time_scaler.cc222 input_buffer_->Write(buffer, num_frames);
334 output_buffer_->Write(input_pointer, remaining_count);
H A Dring_buffer.cc82 void RingBuffer::Write(const float* samples, int num_frames) { function in class:video_editing::RingBuffer
/frameworks/base/opengl/libs/GLES2_dbg/test/
H A Dtest_socket.cpp69 void Write(glesv2debugger::Message & msg) const { function in class:SocketContextTest
130 Write(cmd);
159 Write(cmd);
206 Write(cmd);
211 Write(cmd);
216 Write(cmd);
276 Write(cmd);
282 Write(cmd);
288 Write(cmd);
293 Write(cm
[all...]
/frameworks/base/include/media/stagefright/openmax/
H A DOMX_ContentPipe.h193 /** Write data of the specified size to the content (advance content pointer by size of data).
195 CPresult (*Write)( CPhandle hContent, CPbyte *data, CPuint nSize); member in struct:CP_PIPETYPE
/frameworks/base/media/libstagefright/codecs/on2/h264dec/source/arm_neon_asm/
H A Dh264bsdWriteMacroblock.s74 ; Write one macroblock into the image. Both luma and chroma
99 ; Write luma
/frameworks/base/media/libstagefright/codecs/on2/h264dec/source/arm_neon_asm_gcc/
H A Dh264bsdWriteMacroblock.S76 Write one macroblock into the image. Both luma and chroma
101 @ Write luma
/frameworks/base/drm/libdrmframework/plugins/forward-lock/internal-format/converter/
H A DFwdLockConv.c883 // The current character isn't part of the delimiter. Write it to the output buffer.

Completed in 165 milliseconds