Lines Matching defs:g2

8816 //  Combine(g1, g2, ..., gN)   - Yields all combinations (the Cartesian product
13395 const ParamGenerator<T2>& g2)
13396 : g1_(g1), g2_(g2) {}
13412 const ParamGenerator<T2>& g2,
13416 begin2_(g2.begin()), end2_(g2.end()), current2_(current2) {
13510 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3)
13511 : g1_(g1), g2_(g2), g3_(g3) {}
13528 const ParamGenerator<T2>& g2,
13534 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
13642 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
13644 : g1_(g1), g2_(g2), g3_(g3), g4_(g4) {}
13662 const ParamGenerator<T2>& g2,
13670 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
13793 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
13795 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5) {}
13813 const ParamGenerator<T2>& g2,
13823 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
13962 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
13965 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6) {}
13983 const ParamGenerator<T2>& g2,
13995 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
14148 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
14151 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7) {}
14170 const ParamGenerator<T2>& g2,
14184 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
14351 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
14355 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7),
14376 const ParamGenerator<T2>& g2,
14392 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
14573 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
14577 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7), g8_(g8),
14598 const ParamGenerator<T2>& g2,
14616 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
14812 const ParamGenerator<T2>& g2, const ParamGenerator<T3>& g3,
14817 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7), g8_(g8),
14838 const ParamGenerator<T2>& g2,
14858 begin2_(g2.begin()), end2_(g2.end()), current2_(current2),
15068 CartesianProductHolder2(const Generator1& g1, const Generator2& g2)
15069 : g1_(g1), g2_(g2) {}
15089 CartesianProductHolder3(const Generator1& g1, const Generator2& g2,
15091 : g1_(g1), g2_(g2), g3_(g3) {}
15114 CartesianProductHolder4(const Generator1& g1, const Generator2& g2,
15116 : g1_(g1), g2_(g2), g3_(g3), g4_(g4) {}
15141 CartesianProductHolder5(const Generator1& g1, const Generator2& g2,
15143 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5) {}
15170 CartesianProductHolder6(const Generator1& g1, const Generator2& g2,
15173 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6) {}
15203 CartesianProductHolder7(const Generator1& g1, const Generator2& g2,
15206 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7) {}
15240 CartesianProductHolder8(const Generator1& g1, const Generator2& g2,
15243 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7),
15280 CartesianProductHolder9(const Generator1& g1, const Generator2& g2,
15284 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7), g8_(g8),
15324 CartesianProductHolder10(const Generator1& g1, const Generator2& g2,
15328 : g1_(g1), g2_(g2), g3_(g3), g4_(g4), g5_(g5), g6_(g6), g7_(g7), g8_(g8),
16455 const Generator1& g1, const Generator2& g2) {
16457 g1, g2);
16462 const Generator1& g1, const Generator2& g2, const Generator3& g3) {
16464 g1, g2, g3);
16471 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16475 g1, g2, g3, g4);
16482 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16486 g1, g2, g3, g4, g5);
16493 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16497 g1, g2, g3, g4, g5, g6);
16505 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16510 g1, g2, g3, g4, g5, g6, g7);
16518 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16523 g1, g2, g3, g4, g5, g6, g7, g8);
16532 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16537 g1, g2, g3, g4, g5, g6, g7, g8, g9);
16547 const Generator1& g1, const Generator2& g2, const Generator3& g3,
16554 g1, g2, g3, g4, g5, g6, g7, g8, g9, g10);