Searched refs:indent (Results 1 - 25 of 357) sorted by relevance

1234567891011>>

/external/llvm/utils/TableGen/
H A DDAGISelMatcher.cpp24 void Matcher::print(raw_ostream &OS, unsigned indent) const {
25 printImpl(OS, indent);
27 return Next->print(OS, indent);
99 void ScopeMatcher::printImpl(raw_ostream &OS, unsigned indent) const {
100 OS.indent(indent) << "Scope\n";
103 OS.indent(indent+1) << "NULL POINTER\n";
105 getChild(i)->print(OS, indent+2);
109 void RecordMatcher::printImpl(raw_ostream &OS, unsigned indent) cons
[all...]
/external/libvpx/examples/
H A Dgen_example_code.sh30 indent=${line%%${block_name}}
31 indent=${#indent}
32 [ $indent -eq 1 ] && indent=0
52 (( rem = 78 - indent ))
54 \**) printf "%${indent}s * %s\n" "" "$t_line" ;;
57 printf "%${indent}s%-${rem}s//\n" "" "$t_line"
59 printf "%${indent}s%s\n" "" "$t_line"
/external/openssl/crypto/asn1/
H A Dt_x509a.c68 int X509_CERT_AUX_print(BIO *out, X509_CERT_AUX *aux, int indent) argument
76 indent, "", indent + 2, "");
85 } else BIO_printf(out, "%*sNo Trusted Uses.\n", indent, "");
89 indent, "", indent + 2, "");
98 } else BIO_printf(out, "%*sNo Rejected Uses.\n", indent, "");
99 if(aux->alias) BIO_printf(out, "%*sAlias: %s\n", indent, "",
102 BIO_printf(out, "%*sKey Id: ", indent, "");
H A Dtasn_prn.c159 static int asn1_item_print_ctx(BIO *out, ASN1_VALUE **fld, int indent,
164 int asn1_template_print_ctx(BIO *out, ASN1_VALUE **fld, int indent,
168 const ASN1_ITEM *it, int indent,
172 static int asn1_print_fsname(BIO *out, int indent,
176 int ASN1_item_print(BIO *out, ASN1_VALUE *ifld, int indent, argument
186 return asn1_item_print_ctx(out, &ifld, indent, it,
190 static int asn1_item_print_ctx(BIO *out, ASN1_VALUE **fld, int indent, argument
205 parg.indent = indent;
215 if (!nohdr && !asn1_print_fsname(out, indent,
339 asn1_template_print_ctx(BIO *out, ASN1_VALUE **fld, int indent, const ASN1_TEMPLATE *tt, const ASN1_PCTX *pctx) argument
400 asn1_print_fsname(BIO *out, int indent, const char *fname, const char *sname, const ASN1_PCTX *pctx) argument
501 asn1_print_obstring_ctx(BIO *out, ASN1_STRING *str, int indent, const ASN1_PCTX *pctx) argument
519 asn1_primitive_print(BIO *out, ASN1_VALUE **fld, const ASN1_ITEM *it, int indent, const char *fname, const char *sname, const ASN1_PCTX *pctx) argument
[all...]
/external/webkit/Source/WebCore/rendering/svg/
H A DSVGRenderTreeAsText.h51 void write(TextStream&, const RenderSVGPath&, int indent);
52 void write(TextStream&, const RenderSVGRoot&, int indent);
53 void writeSVGGradientStop(TextStream&, const RenderSVGGradientStop&, int indent);
54 void writeSVGResourceContainer(TextStream&, const RenderObject&, int indent);
55 void writeSVGContainer(TextStream&, const RenderObject&, int indent);
56 void writeSVGImage(TextStream&, const RenderSVGImage&, int indent);
57 void writeSVGInlineText(TextStream&, const RenderText&, int indent);
58 void writeSVGText(TextStream&, const RenderBlock&, int indent);
59 void writeResources(TextStream&, const RenderObject&, int indent);
/external/apache-xml/src/main/java/org/apache/xml/serializer/
H A Doutput_unknown.properties30 indent=no
44 {http\u003a//xml.apache.org/xalan}indent-amount=0
H A Doutput_html.properties27 indent=yes
40 {http\u003a//xml.apache.org/xalan}indent-amount=0
H A Doutput_xml.properties29 indent=no
43 {http\u003a//xml.apache.org/xalan}indent-amount=0
/external/openssl/crypto/x509v3/
H A Dv3_prn.c67 static int unknown_ext_print(BIO *out, X509_EXTENSION *ext, unsigned long flag, int indent, int supported);
71 void X509V3_EXT_val_prn(BIO *out, STACK_OF(CONF_VALUE) *val, int indent, int ml) argument
77 BIO_printf(out, "%*s", indent, "");
81 if(ml) BIO_printf(out, "%*s", indent, "");
108 int X509V3_EXT_print(BIO *out, X509_EXTENSION *ext, unsigned long flag, int indent) argument
118 return unknown_ext_print(out, ext, flag, indent, 0);
123 if(!ext_str) return unknown_ext_print(out, ext, flag, indent, 1);
131 BIO_printf(out, "%*s%s", indent, "", value);
141 BIO_printf(out, "%*s%s", indent, "", tmp);
151 X509V3_EXT_val_prn(out, nval, indent,
165 X509V3_extensions_print(BIO *bp, char *title, STACK_OF(X509_EXTENSION) *exts, unsigned long flag, int indent) argument
198 unknown_ext_print(BIO *out, X509_EXTENSION *ext, unsigned long flag, int indent, int supported) argument
225 X509V3_EXT_print_fp(FILE *fp, X509_EXTENSION *ext, int flag, int indent) argument
[all...]
H A Dv3_pku.c65 static int i2r_PKEY_USAGE_PERIOD(X509V3_EXT_METHOD *method, PKEY_USAGE_PERIOD *usage, BIO *out, int indent);
85 PKEY_USAGE_PERIOD *usage, BIO *out, int indent)
87 BIO_printf(out, "%*s", indent, "");
/external/webkit/Tools/Scripts/
H A Dmake-gypi54 def build_file_line(file_name, indent):
55 return indent + "'%s'," % file_name
57 def build_file_list(source_code, indent):
58 return '\n'.join([build_file_line(file_name, indent) for file_name in sorted(source_code)])
/external/dropbear/libtommath/
H A Ddep.pl94 $indent = 0;
110 if ($indent == 0) { }
111 elsif ($indent >= 1) { print OUT "| " x ($indent - 1) . "+--->"; }
116 ++$indent;
118 --$indent;
/external/jmonkeyengine/engine/src/core/com/jme3/util/blockparser/
H A DStatement.java36 private String getIndent(int indent){ argument
37 return " ".substring(0, indent);
40 private String toString(int indent){ argument
42 sb.append(getIndent(indent));
47 sb.append(statement.toString(indent+4));
50 sb.append(getIndent(indent));
/external/bouncycastle/bcprov/src/main/java/org/bouncycastle/asn1/util/
H A DASN1Dump.java50 String indent,
59 String tab = indent + TAB;
61 buf.append(indent);
101 String tab = indent + TAB;
103 buf.append(indent);
139 String tab = indent + TAB;
141 buf.append(indent);
168 String tab = indent + TAB;
170 buf.append(indent);
196 buf.append(indent
49 _dumpAsString( String indent, boolean verbose, ASN1Primitive obj, StringBuffer buf) argument
313 outputApplicationSpecific(String type, String indent, boolean verbose, ASN1Primitive obj, String nl) argument
380 dumpBinaryDataAsString(String indent, byte[] bytes) argument
[all...]
/external/dexmaker/src/dx/java/com/android/dx/util/
H A DIndentingWriter.java36 /** {@code > 0;} the maximum indent */
42 /** whether indent spaces are currently being collected */
45 /** {@code >= 0;} current indent amount */
46 private int indent; field in class:IndentingWriter
95 indent++;
96 if (indent >= maxIndent) {
97 indent = maxIndent;
120 for (int i = 0; i < indent; i++) {
123 column = indent;
167 indent
[all...]
/external/smali/dexlib/src/main/java/org/jf/dexlib/Util/
H A DIndentingWriter.java44 /** &gt; 0; the maximum indent */
50 /** whether indent spaces are currently being collected */
53 /** &gt;= 0; current indent amount */
54 private int indent; field in class:IndentingWriter
103 indent++;
104 if (indent >= maxIndent) {
105 indent = maxIndent;
128 for (int i = 0; i < indent; i++) {
131 column = indent;
175 indent
[all...]
H A DAnnotatedOutput.java89 public void indent(); method in interface:AnnotatedOutput
/external/clang/lib/ARCMigrate/
H A DPlistReporter.cpp40 static raw_ostream& Indent(raw_ostream& o, const unsigned indent) { argument
41 for (unsigned i = 0; i < indent; ++i) o << ' ';
48 unsigned indent, bool extend = false) {
56 Indent(o, indent) << "<dict>\n";
57 Indent(o, indent) << " <key>line</key><integer>"
59 Indent(o, indent) << " <key>col</key><integer>"
61 Indent(o, indent) << " <key>file</key><integer>"
63 Indent(o, indent) << "</dict>\n";
69 unsigned indent) {
70 Indent(o, indent) << "<arra
45 EmitLocation(raw_ostream& o, const SourceManager &SM, const LangOptions &LangOpts, SourceLocation L, const FIDMap &FM, unsigned indent, bool extend = false) argument
66 EmitRange(raw_ostream& o, const SourceManager &SM, const LangOptions &LangOpts, CharSourceRange R, const FIDMap &FM, unsigned indent) argument
[all...]
/external/openssl/crypto/bio/
H A Db_dump.c78 void *u, const char *s, int len, int indent)
93 if (indent < 0)
94 indent = 0;
95 if (indent)
97 if (indent > 128) indent=128;
98 memset(str,' ',indent);
100 str[indent]='\0';
102 dump_width=DUMP_WIDTH_LESS_INDENT(indent);
169 int BIO_dump_indent_fp(FILE *fp, const char *s, int len, int indent) argument
77 BIO_dump_indent_cb(int (*cb)(const void *data, size_t len, void *u), void *u, const char *s, int len, int indent) argument
183 BIO_dump_indent(BIO *bp, const char *s, int len, int indent) argument
[all...]
/external/webkit/Tools/Scripts/webkitpy/thirdparty/simplejson/
H A D__init__.py39 >>> print simplejson.dumps({'4': 5, '6': 7}, sort_keys=True, indent=4)
103 indent=None, variable
109 allow_nan=True, cls=None, indent=None, separators=None,
134 If ``indent`` is a non-negative integer, then JSON array elements and object
135 members will be pretty-printed with that indent level. An indent level
151 cls is None and indent is None and separators is None and
158 check_circular=check_circular, allow_nan=allow_nan, indent=indent,
167 allow_nan=True, cls=None, indent
[all...]
/external/icu4c/common/
H A Dutrace.c88 static void outputChar(char c, char *outBuf, int32_t *outIx, int32_t capacity, int32_t indent) { argument
94 * a \n is output. Ideally we wouldn't do the indent until the following char
104 for(i=0; i<indent; i++) {
153 static void outputString(const char *s, char *outBuf, int32_t *outIx, int32_t capacity, int32_t indent) { argument
161 outputChar(c, outBuf, outIx, capacity, indent);
168 char *outBuf, int32_t *outIx, int32_t capacity, int32_t indent) {
172 outputString(NULL, outBuf, outIx, capacity, indent);
179 outputChar(' ', outBuf, outIx, capacity, indent);
187 utrace_vformat(char *outBuf, int32_t capacity, int32_t indent, const char *fmt, va_list args) { argument
202 outputChar(fmtC, outBuf, &outIx, capacity, indent);
167 outputUString(const UChar *s, int32_t len, char *outBuf, int32_t *outIx, int32_t capacity, int32_t indent) argument
381 utrace_format(char *outBuf, int32_t capacity, int32_t indent, const char *fmt, ...) argument
[all...]
/external/libvpx/build/make/
H A Dgen_msvs_sln.sh48 indent=""
50 indent="${indent}${indent1}"
53 indent="${indent%${indent1}}"
94 echo "${indent}ProjectSection(ProjectDependencies) = postProject"
100 echo "${indent}$dep_guid = $dep_guid"
104 echo "${indent}EndProjectSection"
119 echo "${indent}GlobalSection(SolutionConfigurationPlatforms) = preSolution"
124 echo "${indent}
[all...]
/external/xmp_toolkit/XMPCore/src/com/adobe/xmp/impl/
H A DXMPSerializerRDF.java409 * @param indent the current indent level
413 private boolean serializeCompactRDFAttrProps(XMPNode parentNode, int indent) throws IOException argument
424 writeIndent(indent);
442 * field of a struct, or an item of an array. The indent is that for the
484 * @param indent the current indent level
488 private void serializeCompactRDFElementProps(XMPNode parentNode, int indent) argument
511 writeIndent(indent);
540 serializeCompactRDFGeneralQualifier(indent, nod
626 serializeCompactRDFArrayProp(XMPNode node, int indent) argument
655 serializeCompactRDFStructProp(XMPNode node, int indent, boolean hasRDFResourceQual) argument
744 serializeCompactRDFGeneralQualifier(int indent, XMPNode node) argument
830 declareUsedNamespaces(XMPNode node, Set usedPrefixes, int indent) argument
871 declareNamespace(String prefix, String namespace, Set usedPrefixes, int indent) argument
950 serializePrettyRDFProperty(XMPNode node, boolean emitAsRDFValue, int indent) argument
1143 emitRDFArrayTag(XMPNode arrayNode, boolean isStartTag, int indent) argument
[all...]
/external/antlr/antlr-3.4/runtime/Ruby/lib/antlr3/debug/
H A Drule-tracer.rb29 indent = @level * @spaces_per_indent
31 @device.print( ' ' * indent, ARROW_IN, name )
44 indent = @level * @spaces_per_indent
46 @device.print( ' ' * indent, ARROW_OUT, name )
/external/jdiff/src/jdiff/
H A DAPI.java73 int indent = 0;
76 dumpPackage((PackageAPI)(iter.next()), indent);
84 * @param indent The number of spaces to indent the output.
86 public void dumpPackage(PackageAPI pkg, int indent) { argument
87 for (int i = 0; i < indent; i++) System.out.print(" ");
91 dumpClass((ClassAPI)(iter.next()), indent + indentInc);
104 * @param indent The number of spaces to indent the output.
106 public static void dumpClass(ClassAPI c, int indent) { argument
160 dumpModifiers(Modifiers m, int indent) argument
178 dumpCtor(ConstructorAPI c, int indent) argument
198 dumpMethod(MethodAPI m, int indent) argument
239 dumpField(FieldAPI f, int indent) argument
264 dumpParam(ParamAPI p, int indent) argument
[all...]

Completed in 727 milliseconds

1234567891011>>