ARMExpandPseudoInsts.cpp revision 10b90a9bbf7dcae1568c03a03f9606f5395f2144
1b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//===-- ARMExpandPseudoInsts.cpp - Expand pseudo instructions -----*- C++ -*-=//
2b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//
3b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//                     The LLVM Compiler Infrastructure
4b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//
5b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng// This file is distributed under the University of Illinois Open Source
6b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng// License. See LICENSE.TXT for details.
7b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//
8b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//===----------------------------------------------------------------------===//
9b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//
10656edcf138563068a2e7d52fb35f8de1375bad9aBob Wilson// This file contains a pass that expands pseudo instructions into target
11b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng// instructions to allow proper scheduling, if-conversion, and other late
12b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng// optimizations. This pass should be run after register allocation but before
13656edcf138563068a2e7d52fb35f8de1375bad9aBob Wilson// the post-regalloc scheduling pass.
14b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//
15b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng//===----------------------------------------------------------------------===//
16b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
17b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng#define DEBUG_TYPE "arm-pseudo"
18b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng#include "ARM.h"
19b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng#include "ARMBaseInstrInfo.h"
20e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach#include "ARMBaseRegisterInfo.h"
21e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach#include "ARMMachineFunctionInfo.h"
2265dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach#include "ARMRegisterInfo.h"
23ee04a6d3a40c3017124e3fd89a0db473a2824498Evan Cheng#include "MCTargetDesc/ARMAddressingModes.h"
24e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach#include "llvm/CodeGen/MachineFrameInfo.h"
25b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng#include "llvm/CodeGen/MachineFunctionPass.h"
26b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng#include "llvm/CodeGen/MachineInstrBuilder.h"
2716c29b5f285f375be53dabaa73e3e91107485fe4Anton Korobeynikov#include "llvm/Target/TargetFrameLowering.h"
284dbbe3433f7339ed277af55037ff6847f484e5abChris Lattner#include "llvm/Target/TargetRegisterInfo.h"
29e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund Olesen#include "llvm/Support/CommandLine.h"
30e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach#include "llvm/Support/raw_ostream.h" // FIXME: for debug only. remove!
31b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Chengusing namespace llvm;
32b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
33a67f14bf53737f9bb0afefa28e08c4aac6ec4804Benjamin Kramerstatic cl::opt<bool>
34e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund OlesenVerifyARMPseudo("verify-arm-pseudo-expand", cl::Hidden,
35e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund Olesen                cl::desc("Verify machine code after expanding ARM pseudos"));
36e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund Olesen
37b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Chengnamespace {
38b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  class ARMExpandPseudo : public MachineFunctionPass {
39b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  public:
40b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    static char ID;
4190c579de5a383cee278acc3f7e7b9d0a656e6a35Owen Anderson    ARMExpandPseudo() : MachineFunctionPass(ID) {}
42b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
43e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach    const ARMBaseInstrInfo *TII;
44d929f7773812535271ae6969331f8164c1f7f3b2Evan Cheng    const TargetRegisterInfo *TRI;
45893d7fe2098cc81ba1b4ce0ed71f6f614843961fEvan Cheng    const ARMSubtarget *STI;
469fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    ARMFunctionInfo *AFI;
47b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
48b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    virtual bool runOnMachineFunction(MachineFunction &Fn);
49b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
50b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    virtual const char *getPassName() const {
51b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      return "ARM pseudo instruction expansion pass";
52b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    }
53b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
54b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  private:
55431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng    void TransferImpOps(MachineInstr &OldMI,
56431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng                        MachineInstrBuilder &UseMI, MachineInstrBuilder &DefMI);
579fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    bool ExpandMI(MachineBasicBlock &MBB,
589fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                  MachineBasicBlock::iterator MBBI);
59b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    bool ExpandMBB(MachineBasicBlock &MBB);
608466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    void ExpandVLD(MachineBasicBlock::iterator &MBBI);
618466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    void ExpandVST(MachineBasicBlock::iterator &MBBI);
628466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    void ExpandLaneOp(MachineBasicBlock::iterator &MBBI);
63bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson    void ExpandVTBL(MachineBasicBlock::iterator &MBBI,
64bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson                    unsigned Opc, bool IsExt, unsigned NumRegs);
659fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    void ExpandMOV32BitImm(MachineBasicBlock &MBB,
669fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                           MachineBasicBlock::iterator &MBBI);
67b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  };
68b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  char ARMExpandPseudo::ID = 0;
69b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng}
70b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
71431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng/// TransferImpOps - Transfer implicit operands on the pseudo instruction to
72431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng/// the instructions created from the expansion.
73431300797b84600fc9b4eb8ca283277d3e0674ebEvan Chengvoid ARMExpandPseudo::TransferImpOps(MachineInstr &OldMI,
74431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng                                     MachineInstrBuilder &UseMI,
75431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng                                     MachineInstrBuilder &DefMI) {
76e837dead3c8dc3445ef6a0e2322179c57e264a13Evan Cheng  const MCInstrDesc &Desc = OldMI.getDesc();
77431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng  for (unsigned i = Desc.getNumOperands(), e = OldMI.getNumOperands();
78431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng       i != e; ++i) {
79431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng    const MachineOperand &MO = OldMI.getOperand(i);
80431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng    assert(MO.isReg() && MO.getReg());
81431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng    if (MO.isUse())
8263569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson      UseMI.addOperand(MO);
83431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng    else
8463569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson      DefMI.addOperand(MO);
85431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng  }
86431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng}
87431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng
888466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonnamespace {
898466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Constants for register spacing in NEON load/store instructions.
908466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // For quad-register load-lane and store-lane pseudo instructors, the
918466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // spacing is initially assumed to be EvenDblSpc, and that is changed to
928466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // OddDblSpc depending on the lane number operand.
938466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  enum NEONRegSpacing {
948466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    SingleSpc,
958466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    EvenDblSpc,
968466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    OddDblSpc
978466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  };
988466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
998466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Entries for NEON load/store information table.  The table is sorted by
1008466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // PseudoOpc for fast binary-search lookups.
1018466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  struct NEONLdStTableEntry {
1028466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    unsigned PseudoOpc;
1038466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    unsigned RealOpc;
1048466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    bool IsLoad;
10510b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    bool HasWritebackOperand;
1068466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    NEONRegSpacing RegSpacing;
1078466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    unsigned char NumRegs; // D registers loaded or stored
1088466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    unsigned char RegElts; // elements per D register; used for lane ops
109280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    // FIXME: Temporary flag to denote whether the real instruction takes
110280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    // a single register (like the encoding) or all of the registers in
111280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    // the list (like the asm syntax and the isel DAG). When all definitions
112280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    // are converted to take only the single encoded register, this will
113280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    // go away.
114280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    bool copyAllListRegs;
1158466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
1168466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    // Comparison methods for binary search of the table.
1178466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    bool operator<(const NEONLdStTableEntry &TE) const {
1188466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      return PseudoOpc < TE.PseudoOpc;
1198466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    }
1208466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    friend bool operator<(const NEONLdStTableEntry &TE, unsigned PseudoOpc) {
1218466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      return TE.PseudoOpc < PseudoOpc;
1228466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    }
123100c267249d1d03c4f96eede9877a4f9f54f2247Chandler Carruth    friend bool LLVM_ATTRIBUTE_UNUSED operator<(unsigned PseudoOpc,
124100c267249d1d03c4f96eede9877a4f9f54f2247Chandler Carruth                                                const NEONLdStTableEntry &TE) {
1258466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      return PseudoOpc < TE.PseudoOpc;
1268466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    }
1278466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  };
1288466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson}
1298466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
1308466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonstatic const NEONLdStTableEntry NEONLdStTable[] = {
131280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq16Pseudo,     ARM::VLD1DUPq16,     true, false, SingleSpc, 2, 4,true},
132280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq16Pseudo_UPD, ARM::VLD1DUPq16_UPD, true, true,  SingleSpc, 2, 4,true},
133280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq32Pseudo,     ARM::VLD1DUPq32,     true, false, SingleSpc, 2, 2,true},
134280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq32Pseudo_UPD, ARM::VLD1DUPq32_UPD, true, true,  SingleSpc, 2, 2,true},
135280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq8Pseudo,      ARM::VLD1DUPq8,      true, false, SingleSpc, 2, 8,true},
136280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1DUPq8Pseudo_UPD,  ARM::VLD1DUPq8_UPD,  true, true,  SingleSpc, 2, 8,true},
137280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
138280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq16Pseudo,     ARM::VLD1LNd16,     true, false, EvenDblSpc, 1, 4 ,true},
139280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq16Pseudo_UPD, ARM::VLD1LNd16_UPD, true, true,  EvenDblSpc, 1, 4 ,true},
140280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq32Pseudo,     ARM::VLD1LNd32,     true, false, EvenDblSpc, 1, 2 ,true},
141280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq32Pseudo_UPD, ARM::VLD1LNd32_UPD, true, true,  EvenDblSpc, 1, 2 ,true},
142280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq8Pseudo,      ARM::VLD1LNd8,      true, false, EvenDblSpc, 1, 8 ,true},
143280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1LNq8Pseudo_UPD,  ARM::VLD1LNd8_UPD,  true, true,  EvenDblSpc, 1, 8 ,true},
144280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
145b6310316dbaf8716003531d7ed245f77f1a76a11Jim Grosbach{ ARM::VLD1d64QPseudo,      ARM::VLD1d64Q,     true,  false, SingleSpc,  4, 1 ,false},
146b6310316dbaf8716003531d7ed245f77f1a76a11Jim Grosbach{ ARM::VLD1d64QPseudo_UPD,  ARM::VLD1d64Q_UPD, true,  true,  SingleSpc,  4, 1 ,false},
147cdcfa280568d5d48ebeba2dcfc87915105e090d1Jim Grosbach{ ARM::VLD1d64TPseudo,      ARM::VLD1d64T,     true,  false, SingleSpc,  3, 1 ,false},
148cdcfa280568d5d48ebeba2dcfc87915105e090d1Jim Grosbach{ ARM::VLD1d64TPseudo_UPD,  ARM::VLD1d64T_UPD, true,  true,  SingleSpc,  3, 1 ,false},
149280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
150280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1q16Pseudo,       ARM::VLD1q16,      true,  false, SingleSpc,  2, 4 ,false},
15110b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q16PseudoWB_fixed, ARM::VLD1q16wb_fixed,true,false,SingleSpc, 2, 4 ,false},
15210b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q16PseudoWB_register, ARM::VLD1q16wb_register, true, true, SingleSpc, 2, 4 ,false},
153280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1q32Pseudo,       ARM::VLD1q32,      true,  false, SingleSpc,  2, 2 ,false},
15410b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q32PseudoWB_fixed, ARM::VLD1q32wb_fixed,true,false,SingleSpc, 2, 2 ,false},
15510b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q32PseudoWB_register, ARM::VLD1q32wb_register, true, true, SingleSpc, 2, 2 ,false},
156280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1q64Pseudo,       ARM::VLD1q64,      true,  false, SingleSpc,  2, 1 ,false},
15710b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q64PseudoWB_fixed, ARM::VLD1q64wb_fixed,true,false,SingleSpc, 2, 2 ,false},
15810b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q64PseudoWB_register, ARM::VLD1q64wb_register, true, true, SingleSpc, 2, 1 ,false},
159280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD1q8Pseudo,        ARM::VLD1q8,       true,  false, SingleSpc,  2, 8 ,false},
16010b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q8PseudoWB_fixed, ARM::VLD1q8wb_fixed,true,false, SingleSpc,  2, 8 ,false},
16110b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach{ ARM::VLD1q8PseudoWB_register, ARM::VLD1q8wb_register,true,true,SingleSpc,2,8,false},
162280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
163280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd16Pseudo,     ARM::VLD2DUPd16,     true, false, SingleSpc, 2, 4,true},
164280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd16Pseudo_UPD, ARM::VLD2DUPd16_UPD, true, true,  SingleSpc, 2, 4,true},
165280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd32Pseudo,     ARM::VLD2DUPd32,     true, false, SingleSpc, 2, 2,true},
166280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd32Pseudo_UPD, ARM::VLD2DUPd32_UPD, true, true,  SingleSpc, 2, 2,true},
167280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd8Pseudo,      ARM::VLD2DUPd8,      true, false, SingleSpc, 2, 8,true},
168280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2DUPd8Pseudo_UPD,  ARM::VLD2DUPd8_UPD,  true, true,  SingleSpc, 2, 8,true},
169280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
170280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd16Pseudo,     ARM::VLD2LNd16,     true, false, SingleSpc,  2, 4 ,true},
171280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd16Pseudo_UPD, ARM::VLD2LNd16_UPD, true, true,  SingleSpc,  2, 4 ,true},
172280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd32Pseudo,     ARM::VLD2LNd32,     true, false, SingleSpc,  2, 2 ,true},
173280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd32Pseudo_UPD, ARM::VLD2LNd32_UPD, true, true,  SingleSpc,  2, 2 ,true},
174280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd8Pseudo,      ARM::VLD2LNd8,      true, false, SingleSpc,  2, 8 ,true},
175280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNd8Pseudo_UPD,  ARM::VLD2LNd8_UPD,  true, true,  SingleSpc,  2, 8 ,true},
176280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNq16Pseudo,     ARM::VLD2LNq16,     true, false, EvenDblSpc, 2, 4 ,true},
177280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNq16Pseudo_UPD, ARM::VLD2LNq16_UPD, true, true,  EvenDblSpc, 2, 4 ,true},
178280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNq32Pseudo,     ARM::VLD2LNq32,     true, false, EvenDblSpc, 2, 2 ,true},
179280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD2LNq32Pseudo_UPD, ARM::VLD2LNq32_UPD, true, true,  EvenDblSpc, 2, 2 ,true},
180280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
1814661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d16Pseudo,       ARM::VLD2d16,      true,  false, SingleSpc,  2, 4 ,false},
1824661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d16Pseudo_UPD,   ARM::VLD2d16_UPD,  true,  true,  SingleSpc,  2, 4 ,false},
1834661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d32Pseudo,       ARM::VLD2d32,      true,  false, SingleSpc,  2, 2 ,false},
1844661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d32Pseudo_UPD,   ARM::VLD2d32_UPD,  true,  true,  SingleSpc,  2, 2 ,false},
1854661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d8Pseudo,        ARM::VLD2d8,       true,  false, SingleSpc,  2, 8 ,false},
1864661d4cac3ba7f480a91d0ccd35fb2d22d9692d3Jim Grosbach{ ARM::VLD2d8Pseudo_UPD,    ARM::VLD2d8_UPD,   true,  true,  SingleSpc,  2, 8 ,false},
187280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
188224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q16Pseudo,       ARM::VLD2q16,      true,  false, SingleSpc,  4, 4 ,false},
189224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q16Pseudo_UPD,   ARM::VLD2q16_UPD,  true,  true,  SingleSpc,  4, 4 ,false},
190224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q32Pseudo,       ARM::VLD2q32,      true,  false, SingleSpc,  4, 2 ,false},
191224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q32Pseudo_UPD,   ARM::VLD2q32_UPD,  true,  true,  SingleSpc,  4, 2 ,false},
192224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q8Pseudo,        ARM::VLD2q8,       true,  false, SingleSpc,  4, 8 ,false},
193224180e81b34c99d15e35a4d4de6729357c6d372Jim Grosbach{ ARM::VLD2q8Pseudo_UPD,    ARM::VLD2q8_UPD,   true,  true,  SingleSpc,  4, 8 ,false},
194280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
195280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd16Pseudo,     ARM::VLD3DUPd16,     true, false, SingleSpc, 3, 4,true},
196280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd16Pseudo_UPD, ARM::VLD3DUPd16_UPD, true, true,  SingleSpc, 3, 4,true},
197280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd32Pseudo,     ARM::VLD3DUPd32,     true, false, SingleSpc, 3, 2,true},
198280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd32Pseudo_UPD, ARM::VLD3DUPd32_UPD, true, true,  SingleSpc, 3, 2,true},
199280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd8Pseudo,      ARM::VLD3DUPd8,      true, false, SingleSpc, 3, 8,true},
200280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3DUPd8Pseudo_UPD,  ARM::VLD3DUPd8_UPD,  true, true,  SingleSpc, 3, 8,true},
201280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
202280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd16Pseudo,     ARM::VLD3LNd16,     true, false, SingleSpc,  3, 4 ,true},
203280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd16Pseudo_UPD, ARM::VLD3LNd16_UPD, true, true,  SingleSpc,  3, 4 ,true},
204280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd32Pseudo,     ARM::VLD3LNd32,     true, false, SingleSpc,  3, 2 ,true},
205280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd32Pseudo_UPD, ARM::VLD3LNd32_UPD, true, true,  SingleSpc,  3, 2 ,true},
206280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd8Pseudo,      ARM::VLD3LNd8,      true, false, SingleSpc,  3, 8 ,true},
207280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNd8Pseudo_UPD,  ARM::VLD3LNd8_UPD,  true, true,  SingleSpc,  3, 8 ,true},
208280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNq16Pseudo,     ARM::VLD3LNq16,     true, false, EvenDblSpc, 3, 4 ,true},
209280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNq16Pseudo_UPD, ARM::VLD3LNq16_UPD, true, true,  EvenDblSpc, 3, 4 ,true},
210280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNq32Pseudo,     ARM::VLD3LNq32,     true, false, EvenDblSpc, 3, 2 ,true},
211280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3LNq32Pseudo_UPD, ARM::VLD3LNq32_UPD, true, true,  EvenDblSpc, 3, 2 ,true},
212280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
213280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d16Pseudo,       ARM::VLD3d16,      true,  false, SingleSpc,  3, 4 ,true},
214280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d16Pseudo_UPD,   ARM::VLD3d16_UPD,  true,  true,  SingleSpc,  3, 4 ,true},
215280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d32Pseudo,       ARM::VLD3d32,      true,  false, SingleSpc,  3, 2 ,true},
216280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d32Pseudo_UPD,   ARM::VLD3d32_UPD,  true,  true,  SingleSpc,  3, 2 ,true},
217280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d8Pseudo,        ARM::VLD3d8,       true,  false, SingleSpc,  3, 8 ,true},
218280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3d8Pseudo_UPD,    ARM::VLD3d8_UPD,   true,  true,  SingleSpc,  3, 8 ,true},
219280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
220280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q16Pseudo_UPD,    ARM::VLD3q16_UPD, true,  true,  EvenDblSpc, 3, 4 ,true},
221280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q16oddPseudo,     ARM::VLD3q16,     true,  false, OddDblSpc,  3, 4 ,true},
222280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q16oddPseudo_UPD, ARM::VLD3q16_UPD, true,  true,  OddDblSpc,  3, 4 ,true},
223280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q32Pseudo_UPD,    ARM::VLD3q32_UPD, true,  true,  EvenDblSpc, 3, 2 ,true},
224280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q32oddPseudo,     ARM::VLD3q32,     true,  false, OddDblSpc,  3, 2 ,true},
225280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q32oddPseudo_UPD, ARM::VLD3q32_UPD, true,  true,  OddDblSpc,  3, 2 ,true},
226280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q8Pseudo_UPD,     ARM::VLD3q8_UPD,  true,  true,  EvenDblSpc, 3, 8 ,true},
227280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q8oddPseudo,      ARM::VLD3q8,      true,  false, OddDblSpc,  3, 8 ,true},
228280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD3q8oddPseudo_UPD,  ARM::VLD3q8_UPD,  true,  true,  OddDblSpc,  3, 8 ,true},
229280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
230280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd16Pseudo,     ARM::VLD4DUPd16,     true, false, SingleSpc, 4, 4,true},
231280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd16Pseudo_UPD, ARM::VLD4DUPd16_UPD, true, true,  SingleSpc, 4, 4,true},
232280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd32Pseudo,     ARM::VLD4DUPd32,     true, false, SingleSpc, 4, 2,true},
233280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd32Pseudo_UPD, ARM::VLD4DUPd32_UPD, true, true,  SingleSpc, 4, 2,true},
234280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd8Pseudo,      ARM::VLD4DUPd8,      true, false, SingleSpc, 4, 8,true},
235280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4DUPd8Pseudo_UPD,  ARM::VLD4DUPd8_UPD,  true, true,  SingleSpc, 4, 8,true},
236280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
237280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd16Pseudo,     ARM::VLD4LNd16,     true, false, SingleSpc,  4, 4 ,true},
238280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd16Pseudo_UPD, ARM::VLD4LNd16_UPD, true, true,  SingleSpc,  4, 4 ,true},
239280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd32Pseudo,     ARM::VLD4LNd32,     true, false, SingleSpc,  4, 2 ,true},
240280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd32Pseudo_UPD, ARM::VLD4LNd32_UPD, true, true,  SingleSpc,  4, 2 ,true},
241280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd8Pseudo,      ARM::VLD4LNd8,      true, false, SingleSpc,  4, 8 ,true},
242280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNd8Pseudo_UPD,  ARM::VLD4LNd8_UPD,  true, true,  SingleSpc,  4, 8 ,true},
243280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNq16Pseudo,     ARM::VLD4LNq16,     true, false, EvenDblSpc, 4, 4 ,true},
244280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNq16Pseudo_UPD, ARM::VLD4LNq16_UPD, true, true,  EvenDblSpc, 4, 4 ,true},
245280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNq32Pseudo,     ARM::VLD4LNq32,     true, false, EvenDblSpc, 4, 2 ,true},
246280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4LNq32Pseudo_UPD, ARM::VLD4LNq32_UPD, true, true,  EvenDblSpc, 4, 2 ,true},
247280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
248280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d16Pseudo,       ARM::VLD4d16,      true,  false, SingleSpc,  4, 4 ,true},
249280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d16Pseudo_UPD,   ARM::VLD4d16_UPD,  true,  true,  SingleSpc,  4, 4 ,true},
250280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d32Pseudo,       ARM::VLD4d32,      true,  false, SingleSpc,  4, 2 ,true},
251280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d32Pseudo_UPD,   ARM::VLD4d32_UPD,  true,  true,  SingleSpc,  4, 2 ,true},
252280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d8Pseudo,        ARM::VLD4d8,       true,  false, SingleSpc,  4, 8 ,true},
253280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4d8Pseudo_UPD,    ARM::VLD4d8_UPD,   true,  true,  SingleSpc,  4, 8 ,true},
254280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
255280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q16Pseudo_UPD,    ARM::VLD4q16_UPD, true,  true,  EvenDblSpc, 4, 4 ,true},
256280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q16oddPseudo,     ARM::VLD4q16,     true,  false, OddDblSpc,  4, 4 ,true},
257280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q16oddPseudo_UPD, ARM::VLD4q16_UPD, true,  true,  OddDblSpc,  4, 4 ,true},
258280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q32Pseudo_UPD,    ARM::VLD4q32_UPD, true,  true,  EvenDblSpc, 4, 2 ,true},
259280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q32oddPseudo,     ARM::VLD4q32,     true,  false, OddDblSpc,  4, 2 ,true},
260280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q32oddPseudo_UPD, ARM::VLD4q32_UPD, true,  true,  OddDblSpc,  4, 2 ,true},
261280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q8Pseudo_UPD,     ARM::VLD4q8_UPD,  true,  true,  EvenDblSpc, 4, 8 ,true},
262280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q8oddPseudo,      ARM::VLD4q8,      true,  false, OddDblSpc,  4, 8 ,true},
263280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VLD4q8oddPseudo_UPD,  ARM::VLD4q8_UPD,  true,  true,  OddDblSpc,  4, 8 ,true},
264280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
265280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq16Pseudo,     ARM::VST1LNd16,    false, false, EvenDblSpc, 1, 4 ,true},
266280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq16Pseudo_UPD, ARM::VST1LNd16_UPD,false, true,  EvenDblSpc, 1, 4 ,true},
267280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq32Pseudo,     ARM::VST1LNd32,    false, false, EvenDblSpc, 1, 2 ,true},
268280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq32Pseudo_UPD, ARM::VST1LNd32_UPD,false, true,  EvenDblSpc, 1, 2 ,true},
269280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq8Pseudo,      ARM::VST1LNd8,     false, false, EvenDblSpc, 1, 8 ,true},
270280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1LNq8Pseudo_UPD,  ARM::VST1LNd8_UPD, false, true,  EvenDblSpc, 1, 8 ,true},
271280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
272280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1d64QPseudo,      ARM::VST1d64Q,     false, false, SingleSpc,  4, 1 ,true},
273280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1d64QPseudo_UPD,  ARM::VST1d64Q_UPD, false, true,  SingleSpc,  4, 1 ,true},
274280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1d64TPseudo,      ARM::VST1d64T,     false, false, SingleSpc,  3, 1 ,true},
275280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1d64TPseudo_UPD,  ARM::VST1d64T_UPD, false, true,  SingleSpc,  3, 1 ,true},
276280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
277280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q16Pseudo,       ARM::VST1q16,      false, false, SingleSpc,  2, 4 ,true},
278280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q16Pseudo_UPD,   ARM::VST1q16_UPD,  false, true,  SingleSpc,  2, 4 ,true},
279280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q32Pseudo,       ARM::VST1q32,      false, false, SingleSpc,  2, 2 ,true},
280280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q32Pseudo_UPD,   ARM::VST1q32_UPD,  false, true,  SingleSpc,  2, 2 ,true},
281280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q64Pseudo,       ARM::VST1q64,      false, false, SingleSpc,  2, 1 ,true},
282280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q64Pseudo_UPD,   ARM::VST1q64_UPD,  false, true,  SingleSpc,  2, 1 ,true},
283280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q8Pseudo,        ARM::VST1q8,       false, false, SingleSpc,  2, 8 ,true},
284280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST1q8Pseudo_UPD,    ARM::VST1q8_UPD,   false, true,  SingleSpc,  2, 8 ,true},
285280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
286280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd16Pseudo,     ARM::VST2LNd16,     false, false, SingleSpc, 2, 4 ,true},
287280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd16Pseudo_UPD, ARM::VST2LNd16_UPD, false, true,  SingleSpc, 2, 4 ,true},
288280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd32Pseudo,     ARM::VST2LNd32,     false, false, SingleSpc, 2, 2 ,true},
289280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd32Pseudo_UPD, ARM::VST2LNd32_UPD, false, true,  SingleSpc, 2, 2 ,true},
290280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd8Pseudo,      ARM::VST2LNd8,      false, false, SingleSpc, 2, 8 ,true},
291280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNd8Pseudo_UPD,  ARM::VST2LNd8_UPD,  false, true,  SingleSpc, 2, 8 ,true},
292280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNq16Pseudo,     ARM::VST2LNq16,     false, false, EvenDblSpc, 2, 4,true},
293280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNq16Pseudo_UPD, ARM::VST2LNq16_UPD, false, true,  EvenDblSpc, 2, 4,true},
294280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNq32Pseudo,     ARM::VST2LNq32,     false, false, EvenDblSpc, 2, 2,true},
295280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2LNq32Pseudo_UPD, ARM::VST2LNq32_UPD, false, true,  EvenDblSpc, 2, 2,true},
296280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
297280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d16Pseudo,       ARM::VST2d16,      false, false, SingleSpc,  2, 4 ,true},
298280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d16Pseudo_UPD,   ARM::VST2d16_UPD,  false, true,  SingleSpc,  2, 4 ,true},
299280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d32Pseudo,       ARM::VST2d32,      false, false, SingleSpc,  2, 2 ,true},
300280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d32Pseudo_UPD,   ARM::VST2d32_UPD,  false, true,  SingleSpc,  2, 2 ,true},
301280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d8Pseudo,        ARM::VST2d8,       false, false, SingleSpc,  2, 8 ,true},
302280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2d8Pseudo_UPD,    ARM::VST2d8_UPD,   false, true,  SingleSpc,  2, 8 ,true},
303280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
304280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q16Pseudo,       ARM::VST2q16,      false, false, SingleSpc,  4, 4 ,true},
305280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q16Pseudo_UPD,   ARM::VST2q16_UPD,  false, true,  SingleSpc,  4, 4 ,true},
306280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q32Pseudo,       ARM::VST2q32,      false, false, SingleSpc,  4, 2 ,true},
307280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q32Pseudo_UPD,   ARM::VST2q32_UPD,  false, true,  SingleSpc,  4, 2 ,true},
308280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q8Pseudo,        ARM::VST2q8,       false, false, SingleSpc,  4, 8 ,true},
309280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST2q8Pseudo_UPD,    ARM::VST2q8_UPD,   false, true,  SingleSpc,  4, 8 ,true},
310280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
311280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd16Pseudo,     ARM::VST3LNd16,     false, false, SingleSpc, 3, 4 ,true},
312280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd16Pseudo_UPD, ARM::VST3LNd16_UPD, false, true,  SingleSpc, 3, 4 ,true},
313280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd32Pseudo,     ARM::VST3LNd32,     false, false, SingleSpc, 3, 2 ,true},
314280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd32Pseudo_UPD, ARM::VST3LNd32_UPD, false, true,  SingleSpc, 3, 2 ,true},
315280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd8Pseudo,      ARM::VST3LNd8,      false, false, SingleSpc, 3, 8 ,true},
316280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNd8Pseudo_UPD,  ARM::VST3LNd8_UPD,  false, true,  SingleSpc, 3, 8 ,true},
317280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNq16Pseudo,     ARM::VST3LNq16,     false, false, EvenDblSpc, 3, 4,true},
318280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNq16Pseudo_UPD, ARM::VST3LNq16_UPD, false, true,  EvenDblSpc, 3, 4,true},
319280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNq32Pseudo,     ARM::VST3LNq32,     false, false, EvenDblSpc, 3, 2,true},
320280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3LNq32Pseudo_UPD, ARM::VST3LNq32_UPD, false, true,  EvenDblSpc, 3, 2,true},
321280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
322280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d16Pseudo,       ARM::VST3d16,      false, false, SingleSpc,  3, 4 ,true},
323280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d16Pseudo_UPD,   ARM::VST3d16_UPD,  false, true,  SingleSpc,  3, 4 ,true},
324280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d32Pseudo,       ARM::VST3d32,      false, false, SingleSpc,  3, 2 ,true},
325280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d32Pseudo_UPD,   ARM::VST3d32_UPD,  false, true,  SingleSpc,  3, 2 ,true},
326280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d8Pseudo,        ARM::VST3d8,       false, false, SingleSpc,  3, 8 ,true},
327280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3d8Pseudo_UPD,    ARM::VST3d8_UPD,   false, true,  SingleSpc,  3, 8 ,true},
328280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
329280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q16Pseudo_UPD,    ARM::VST3q16_UPD, false, true,  EvenDblSpc, 3, 4 ,true},
330280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q16oddPseudo,     ARM::VST3q16,     false, false, OddDblSpc,  3, 4 ,true},
331280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q16oddPseudo_UPD, ARM::VST3q16_UPD, false, true,  OddDblSpc,  3, 4 ,true},
332280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q32Pseudo_UPD,    ARM::VST3q32_UPD, false, true,  EvenDblSpc, 3, 2 ,true},
333280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q32oddPseudo,     ARM::VST3q32,     false, false, OddDblSpc,  3, 2 ,true},
334280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q32oddPseudo_UPD, ARM::VST3q32_UPD, false, true,  OddDblSpc,  3, 2 ,true},
335280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q8Pseudo_UPD,     ARM::VST3q8_UPD,  false, true,  EvenDblSpc, 3, 8 ,true},
336280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q8oddPseudo,      ARM::VST3q8,      false, false, OddDblSpc,  3, 8 ,true},
337280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST3q8oddPseudo_UPD,  ARM::VST3q8_UPD,  false, true,  OddDblSpc,  3, 8 ,true},
338280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
339280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd16Pseudo,     ARM::VST4LNd16,     false, false, SingleSpc, 4, 4 ,true},
340280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd16Pseudo_UPD, ARM::VST4LNd16_UPD, false, true,  SingleSpc, 4, 4 ,true},
341280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd32Pseudo,     ARM::VST4LNd32,     false, false, SingleSpc, 4, 2 ,true},
342280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd32Pseudo_UPD, ARM::VST4LNd32_UPD, false, true,  SingleSpc, 4, 2 ,true},
343280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd8Pseudo,      ARM::VST4LNd8,      false, false, SingleSpc, 4, 8 ,true},
344280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNd8Pseudo_UPD,  ARM::VST4LNd8_UPD,  false, true,  SingleSpc, 4, 8 ,true},
345280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNq16Pseudo,     ARM::VST4LNq16,     false, false, EvenDblSpc, 4, 4,true},
346280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNq16Pseudo_UPD, ARM::VST4LNq16_UPD, false, true,  EvenDblSpc, 4, 4,true},
347280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNq32Pseudo,     ARM::VST4LNq32,     false, false, EvenDblSpc, 4, 2,true},
348280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4LNq32Pseudo_UPD, ARM::VST4LNq32_UPD, false, true,  EvenDblSpc, 4, 2,true},
349280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
350280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d16Pseudo,       ARM::VST4d16,      false, false, SingleSpc,  4, 4 ,true},
351280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d16Pseudo_UPD,   ARM::VST4d16_UPD,  false, true,  SingleSpc,  4, 4 ,true},
352280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d32Pseudo,       ARM::VST4d32,      false, false, SingleSpc,  4, 2 ,true},
353280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d32Pseudo_UPD,   ARM::VST4d32_UPD,  false, true,  SingleSpc,  4, 2 ,true},
354280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d8Pseudo,        ARM::VST4d8,       false, false, SingleSpc,  4, 8 ,true},
355280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4d8Pseudo_UPD,    ARM::VST4d8_UPD,   false, true,  SingleSpc,  4, 8 ,true},
356280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach
357280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q16Pseudo_UPD,    ARM::VST4q16_UPD, false, true,  EvenDblSpc, 4, 4 ,true},
358280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q16oddPseudo,     ARM::VST4q16,     false, false, OddDblSpc,  4, 4 ,true},
359280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q16oddPseudo_UPD, ARM::VST4q16_UPD, false, true,  OddDblSpc,  4, 4 ,true},
360280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q32Pseudo_UPD,    ARM::VST4q32_UPD, false, true,  EvenDblSpc, 4, 2 ,true},
361280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q32oddPseudo,     ARM::VST4q32,     false, false, OddDblSpc,  4, 2 ,true},
362280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q32oddPseudo_UPD, ARM::VST4q32_UPD, false, true,  OddDblSpc,  4, 2 ,true},
363280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q8Pseudo_UPD,     ARM::VST4q8_UPD,  false, true,  EvenDblSpc, 4, 8 ,true},
364280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q8oddPseudo,      ARM::VST4q8,      false, false, OddDblSpc,  4, 8 ,true},
365280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach{ ARM::VST4q8oddPseudo_UPD,  ARM::VST4q8_UPD,  false, true,  OddDblSpc,  4, 8 ,true}
3668466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson};
3678466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
3688466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// LookupNEONLdSt - Search the NEONLdStTable for information about a NEON
3698466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// load or store pseudo instruction.
3708466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonstatic const NEONLdStTableEntry *LookupNEONLdSt(unsigned Opcode) {
3718466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned NumEntries = array_lengthof(NEONLdStTable);
3728466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
3738466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson#ifndef NDEBUG
3748466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Make sure the table is sorted.
3758466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  static bool TableChecked = false;
3768466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (!TableChecked) {
3778466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    for (unsigned i = 0; i != NumEntries-1; ++i)
3788466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      assert(NEONLdStTable[i] < NEONLdStTable[i+1] &&
3798466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson             "NEONLdStTable is not sorted!");
3808466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    TableChecked = true;
3818466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  }
3828466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson#endif
3838466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
3848466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  const NEONLdStTableEntry *I =
3858466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    std::lower_bound(NEONLdStTable, NEONLdStTable + NumEntries, Opcode);
3868466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (I != NEONLdStTable + NumEntries && I->PseudoOpc == Opcode)
3878466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    return I;
3888466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  return NULL;
3898466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson}
3908466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
3918466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// GetDSubRegs - Get 4 D subregisters of a Q, QQ, or QQQQ register,
3928466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// corresponding to the specified register spacing.  Not all of the results
3938466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// are necessarily valid, e.g., a Q register only has 2 D subregisters.
3948466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonstatic void GetDSubRegs(unsigned Reg, NEONRegSpacing RegSpc,
3958466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                        const TargetRegisterInfo *TRI, unsigned &D0,
3968466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                        unsigned &D1, unsigned &D2, unsigned &D3) {
3978466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (RegSpc == SingleSpc) {
3988466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D0 = TRI->getSubReg(Reg, ARM::dsub_0);
3998466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D1 = TRI->getSubReg(Reg, ARM::dsub_1);
4008466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D2 = TRI->getSubReg(Reg, ARM::dsub_2);
4018466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D3 = TRI->getSubReg(Reg, ARM::dsub_3);
4028466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  } else if (RegSpc == EvenDblSpc) {
4038466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D0 = TRI->getSubReg(Reg, ARM::dsub_0);
4048466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D1 = TRI->getSubReg(Reg, ARM::dsub_2);
4058466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D2 = TRI->getSubReg(Reg, ARM::dsub_4);
4068466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D3 = TRI->getSubReg(Reg, ARM::dsub_6);
4078466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  } else {
4088466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    assert(RegSpc == OddDblSpc && "unknown register spacing");
4098466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D0 = TRI->getSubReg(Reg, ARM::dsub_1);
4108466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D1 = TRI->getSubReg(Reg, ARM::dsub_3);
4118466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D2 = TRI->getSubReg(Reg, ARM::dsub_5);
4128466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    D3 = TRI->getSubReg(Reg, ARM::dsub_7);
413bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  }
4148466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson}
4158466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
41682a9c8480ecd41a1351274569f8d4e4de2723cf6Bob Wilson/// ExpandVLD - Translate VLD pseudo instructions with Q, QQ or QQQQ register
41782a9c8480ecd41a1351274569f8d4e4de2723cf6Bob Wilson/// operands to real VLD instructions with D register operands.
4188466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonvoid ARMExpandPseudo::ExpandVLD(MachineBasicBlock::iterator &MBBI) {
419ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  MachineInstr &MI = *MBBI;
420ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  MachineBasicBlock &MBB = *MI.getParent();
421ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
4228466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  const NEONLdStTableEntry *TableEntry = LookupNEONLdSt(MI.getOpcode());
4238466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  assert(TableEntry && TableEntry->IsLoad && "NEONLdStTable lookup failed");
4248466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  NEONRegSpacing RegSpc = TableEntry->RegSpacing;
4258466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned NumRegs = TableEntry->NumRegs;
4268466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
4278466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineInstrBuilder MIB = BuildMI(MBB, MBBI, MI.getDebugLoc(),
4288466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                                    TII->get(TableEntry->RealOpc));
429ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  unsigned OpIdx = 0;
430ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
431ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  bool DstIsDead = MI.getOperand(OpIdx).isDead();
432ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  unsigned DstReg = MI.getOperand(OpIdx++).getReg();
433ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  unsigned D0, D1, D2, D3;
4348466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  GetDSubRegs(DstReg, RegSpc, TRI, D0, D1, D2, D3);
435280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach  MIB.addReg(D0, RegState::Define | getDeadRegState(DstIsDead));
436280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach  if (NumRegs > 1 && TableEntry->copyAllListRegs)
437280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach    MIB.addReg(D1, RegState::Define | getDeadRegState(DstIsDead));
438280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach  if (NumRegs > 2 && TableEntry->copyAllListRegs)
439f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    MIB.addReg(D2, RegState::Define | getDeadRegState(DstIsDead));
440280dfad48940a0a51726308dd3daa3b1b0d18705Jim Grosbach  if (NumRegs > 3 && TableEntry->copyAllListRegs)
441f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    MIB.addReg(D3, RegState::Define | getDeadRegState(DstIsDead));
442ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
44310b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
44463569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
44563569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson
446ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  // Copy the addrmode6 operands.
44763569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
44863569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
44963569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  // Copy the am6offset operand.
45010b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
45163569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
452ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
45319d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson  // For an instruction writing double-spaced subregs, the pseudo instruction
454823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // has an extra operand that is a use of the super-register.  Record the
455823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // operand index and skip over it.
456823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  unsigned SrcOpIdx = 0;
457823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  if (RegSpc == EvenDblSpc || RegSpc == OddDblSpc)
458823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson    SrcOpIdx = OpIdx++;
459823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
460823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // Copy the predicate operands.
461823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
462823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
463823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
464823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // Copy the super-register source operand used for double-spaced subregs over
46519d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson  // to the new instruction as an implicit operand.
466823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  if (SrcOpIdx != 0) {
467823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson    MachineOperand MO = MI.getOperand(SrcOpIdx);
46819d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson    MO.setImplicit(true);
46919d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson    MIB.addOperand(MO);
47019d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson  }
471f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson  // Add an implicit def for the super-register.
472f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson  MIB.addReg(DstReg, RegState::ImplicitDefine | getDeadRegState(DstIsDead));
47319d644d5a9cd6699e5f9f1999deb3c77b2bbdca4Bob Wilson  TransferImpOps(MI, MIB, MIB);
474b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng
475b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng  // Transfer memoperands.
476d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  MIB->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
477b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng
478ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson  MI.eraseFromParent();
479ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson}
480ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
48101ba461af7eafc9d181a5c349487691f2e801438Bob Wilson/// ExpandVST - Translate VST pseudo instructions with Q, QQ or QQQQ register
48201ba461af7eafc9d181a5c349487691f2e801438Bob Wilson/// operands to real VST instructions with D register operands.
4838466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonvoid ARMExpandPseudo::ExpandVST(MachineBasicBlock::iterator &MBBI) {
484709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  MachineInstr &MI = *MBBI;
485709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  MachineBasicBlock &MBB = *MI.getParent();
486709d59255a3100c7d440c93069efa1f726677a27Bob Wilson
4878466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  const NEONLdStTableEntry *TableEntry = LookupNEONLdSt(MI.getOpcode());
4888466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  assert(TableEntry && !TableEntry->IsLoad && "NEONLdStTable lookup failed");
4898466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  NEONRegSpacing RegSpc = TableEntry->RegSpacing;
4908466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned NumRegs = TableEntry->NumRegs;
4918466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
4928466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineInstrBuilder MIB = BuildMI(MBB, MBBI, MI.getDebugLoc(),
4938466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                                    TII->get(TableEntry->RealOpc));
494709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  unsigned OpIdx = 0;
49510b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
49663569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
49763569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson
498709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  // Copy the addrmode6 operands.
49963569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
50063569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
50163569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson  // Copy the am6offset operand.
50210b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
50363569c99ec944210d0edc687d7411b5c687e97a7Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
504709d59255a3100c7d440c93069efa1f726677a27Bob Wilson
505709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  bool SrcIsKill = MI.getOperand(OpIdx).isKill();
506823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  unsigned SrcReg = MI.getOperand(OpIdx++).getReg();
507709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  unsigned D0, D1, D2, D3;
5088466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  GetDSubRegs(SrcReg, RegSpc, TRI, D0, D1, D2, D3);
5097e701979ad20796bc930b21de3888ccfa0d8b33dBob Wilson  MIB.addReg(D0).addReg(D1);
510e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson  if (NumRegs > 2)
5117e701979ad20796bc930b21de3888ccfa0d8b33dBob Wilson    MIB.addReg(D2);
51201ba461af7eafc9d181a5c349487691f2e801438Bob Wilson  if (NumRegs > 3)
5137e701979ad20796bc930b21de3888ccfa0d8b33dBob Wilson    MIB.addReg(D3);
514823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
515823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // Copy the predicate operands.
516823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
517823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
518823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
519d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  if (SrcIsKill) // Add an implicit kill for the super-reg.
520d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner    MIB->addRegisterKilled(SrcReg, TRI, true);
521bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  TransferImpOps(MI, MIB, MIB);
522b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng
523b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng  // Transfer memoperands.
524d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  MIB->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
525b58a340fa2affa0da27a46c94dd49ba079c9343cEvan Cheng
526709d59255a3100c7d440c93069efa1f726677a27Bob Wilson  MI.eraseFromParent();
527709d59255a3100c7d440c93069efa1f726677a27Bob Wilson}
528709d59255a3100c7d440c93069efa1f726677a27Bob Wilson
5298466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// ExpandLaneOp - Translate VLD*LN and VST*LN instructions with Q, QQ or QQQQ
5308466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson/// register operands to real instructions with D register operands.
5318466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilsonvoid ARMExpandPseudo::ExpandLaneOp(MachineBasicBlock::iterator &MBBI) {
5328466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineInstr &MI = *MBBI;
5338466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineBasicBlock &MBB = *MI.getParent();
5348466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5358466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  const NEONLdStTableEntry *TableEntry = LookupNEONLdSt(MI.getOpcode());
5368466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  assert(TableEntry && "NEONLdStTable lookup failed");
5378466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  NEONRegSpacing RegSpc = TableEntry->RegSpacing;
5388466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned NumRegs = TableEntry->NumRegs;
5398466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned RegElts = TableEntry->RegElts;
5408466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5418466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineInstrBuilder MIB = BuildMI(MBB, MBBI, MI.getDebugLoc(),
5428466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                                    TII->get(TableEntry->RealOpc));
5438466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned OpIdx = 0;
5448466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // The lane operand is always the 3rd from last operand, before the 2
5458466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // predicate operands.
5468466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned Lane = MI.getOperand(MI.getDesc().getNumOperands() - 3).getImm();
5478466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5488466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Adjust the lane and spacing as needed for Q registers.
5498466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  assert(RegSpc != OddDblSpc && "unexpected register spacing for VLD/VST-lane");
5508466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (RegSpc == EvenDblSpc && Lane >= RegElts) {
5518466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    RegSpc = OddDblSpc;
5528466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    Lane -= RegElts;
5538466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  }
5548466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  assert(Lane < RegElts && "out of range lane for VLD/VST-lane");
5558466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
556584520e8e2c1f8cc04bc8dd4dc4ea6c390627317Ted Kremenek  unsigned D0 = 0, D1 = 0, D2 = 0, D3 = 0;
557fe3ac088ee0a536f60b3c30ad97703d5d6cd2167Bob Wilson  unsigned DstReg = 0;
558fe3ac088ee0a536f60b3c30ad97703d5d6cd2167Bob Wilson  bool DstIsDead = false;
5598466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (TableEntry->IsLoad) {
5608466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    DstIsDead = MI.getOperand(OpIdx).isDead();
5618466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    DstReg = MI.getOperand(OpIdx++).getReg();
5628466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    GetDSubRegs(DstReg, RegSpc, TRI, D0, D1, D2, D3);
563b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    MIB.addReg(D0, RegState::Define | getDeadRegState(DstIsDead));
564b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    if (NumRegs > 1)
565b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson      MIB.addReg(D1, RegState::Define | getDeadRegState(DstIsDead));
5668466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    if (NumRegs > 2)
5678466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      MIB.addReg(D2, RegState::Define | getDeadRegState(DstIsDead));
5688466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    if (NumRegs > 3)
5698466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      MIB.addReg(D3, RegState::Define | getDeadRegState(DstIsDead));
5708466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  }
5718466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
57210b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
5738466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
5748466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5758466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Copy the addrmode6 operands.
5768466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
5778466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
5788466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Copy the am6offset operand.
57910b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach  if (TableEntry->HasWritebackOperand)
5808466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
5818466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5828466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Grab the super-register source.
5838466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MachineOperand MO = MI.getOperand(OpIdx++);
5848466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (!TableEntry->IsLoad)
5858466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    GetDSubRegs(MO.getReg(), RegSpc, TRI, D0, D1, D2, D3);
5868466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5878466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Add the subregs as sources of the new instruction.
5888466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  unsigned SrcFlags = (getUndefRegState(MO.isUndef()) |
5898466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson                       getKillRegState(MO.isKill()));
590b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson  MIB.addReg(D0, SrcFlags);
591b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson  if (NumRegs > 1)
592b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    MIB.addReg(D1, SrcFlags);
5938466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (NumRegs > 2)
5948466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    MIB.addReg(D2, SrcFlags);
5958466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (NumRegs > 3)
5968466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    MIB.addReg(D3, SrcFlags);
5978466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
5988466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Add the lane number operand.
5998466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MIB.addImm(Lane);
600823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  OpIdx += 1;
601823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
602823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // Copy the predicate operands.
603823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
604823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
6058466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
6068466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  // Copy the super-register source to be an implicit source.
6078466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MO.setImplicit(true);
6088466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MIB.addOperand(MO);
6098466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  if (TableEntry->IsLoad)
6108466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    // Add an implicit def for the super-register.
6118466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    MIB.addReg(DstReg, RegState::ImplicitDefine | getDeadRegState(DstIsDead));
6128466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  TransferImpOps(MI, MIB, MIB);
6138466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson  MI.eraseFromParent();
6148466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson}
6158466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
616bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson/// ExpandVTBL - Translate VTBL and VTBX pseudo instructions with Q or QQ
617bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson/// register operands to real instructions with D register operands.
618bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilsonvoid ARMExpandPseudo::ExpandVTBL(MachineBasicBlock::iterator &MBBI,
619bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson                                 unsigned Opc, bool IsExt, unsigned NumRegs) {
620bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MachineInstr &MI = *MBBI;
621bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MachineBasicBlock &MBB = *MI.getParent();
622bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
623bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MachineInstrBuilder MIB = BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(Opc));
624bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  unsigned OpIdx = 0;
625bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
626bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  // Transfer the destination register operand.
627bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
628bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  if (IsExt)
629bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson    MIB.addOperand(MI.getOperand(OpIdx++));
630bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
631bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  bool SrcIsKill = MI.getOperand(OpIdx).isKill();
632bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  unsigned SrcReg = MI.getOperand(OpIdx++).getReg();
633bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  unsigned D0, D1, D2, D3;
634bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  GetDSubRegs(SrcReg, SingleSpc, TRI, D0, D1, D2, D3);
635bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MIB.addReg(D0).addReg(D1);
636bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  if (NumRegs > 2)
637bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson    MIB.addReg(D2);
638bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  if (NumRegs > 3)
639bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson    MIB.addReg(D3);
640bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
641bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  // Copy the other source register operand.
642823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
643823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson
644823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  // Copy the predicate operands.
645823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
646823611bfba4fb2c1abbba2e59d68432c6d0a9e9aBob Wilson  MIB.addOperand(MI.getOperand(OpIdx++));
647bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
648d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  if (SrcIsKill)  // Add an implicit kill for the super-reg.
649d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner    MIB->addRegisterKilled(SrcReg, TRI, true);
650bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  TransferImpOps(MI, MIB, MIB);
651bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson  MI.eraseFromParent();
652bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson}
653bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson
6549fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Chengvoid ARMExpandPseudo::ExpandMOV32BitImm(MachineBasicBlock &MBB,
6559fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                                        MachineBasicBlock::iterator &MBBI) {
6569fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  MachineInstr &MI = *MBBI;
6579fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned Opcode = MI.getOpcode();
6589fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned PredReg = 0;
6599fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  ARMCC::CondCodes Pred = llvm::getInstrPredicate(&MI, PredReg);
6609fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned DstReg = MI.getOperand(0).getReg();
6619fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  bool DstIsDead = MI.getOperand(0).isDead();
6629fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  bool isCC = Opcode == ARM::MOVCCi32imm || Opcode == ARM::t2MOVCCi32imm;
6639fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  const MachineOperand &MO = MI.getOperand(isCC ? 2 : 1);
6649fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  MachineInstrBuilder LO16, HI16;
6659fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
6669fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  if (!STI->hasV6T2Ops() &&
6679fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      (Opcode == ARM::MOVi32imm || Opcode == ARM::MOVCCi32imm)) {
6689fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    // Expand into a movi + orr.
6699fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16 = BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MOVi), DstReg);
6709fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16 = BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::ORRri))
6719fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      .addReg(DstReg, RegState::Define | getDeadRegState(DstIsDead))
6729fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      .addReg(DstReg);
6739fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
6749fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    assert (MO.isImm() && "MOVi32imm w/ non-immediate source operand!");
6759fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned ImmVal = (unsigned)MO.getImm();
6769fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned SOImmValV1 = ARM_AM::getSOImmTwoPartFirst(ImmVal);
6779fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned SOImmValV2 = ARM_AM::getSOImmTwoPartSecond(ImmVal);
6789fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16 = LO16.addImm(SOImmValV1);
6799fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16 = HI16.addImm(SOImmValV2);
680d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner    LO16->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
681d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner    HI16->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
6829fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16.addImm(Pred).addReg(PredReg).addReg(0);
6839fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16.addImm(Pred).addReg(PredReg).addReg(0);
6849fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    TransferImpOps(MI, LO16, HI16);
6859fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    MI.eraseFromParent();
6869fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    return;
6879fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  }
688b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
6899fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned LO16Opc = 0;
6909fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned HI16Opc = 0;
6919fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  if (Opcode == ARM::t2MOVi32imm || Opcode == ARM::t2MOVCCi32imm) {
6929fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16Opc = ARM::t2MOVi16;
6939fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16Opc = ARM::t2MOVTi16;
6949fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  } else {
6959fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16Opc = ARM::MOVi16;
6969fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16Opc = ARM::MOVTi16;
6979fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  }
698b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
6999fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  LO16 = BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(LO16Opc), DstReg);
7009fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  HI16 = BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(HI16Opc))
7019fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    .addReg(DstReg, RegState::Define | getDeadRegState(DstIsDead))
7029fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    .addReg(DstReg);
7039fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
7049fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  if (MO.isImm()) {
7059fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned Imm = MO.getImm();
7069fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned Lo16 = Imm & 0xffff;
7079fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned Hi16 = (Imm >> 16) & 0xffff;
7089fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16 = LO16.addImm(Lo16);
7099fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16 = HI16.addImm(Hi16);
7109fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  } else {
7119fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    const GlobalValue *GV = MO.getGlobal();
7129fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    unsigned TF = MO.getTargetFlags();
7139fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    LO16 = LO16.addGlobalAddress(GV, MO.getOffset(), TF | ARMII::MO_LO16);
7149fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    HI16 = HI16.addGlobalAddress(GV, MO.getOffset(), TF | ARMII::MO_HI16);
7159fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  }
716709d59255a3100c7d440c93069efa1f726677a27Bob Wilson
717d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  LO16->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
718d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner  HI16->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
7199fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  LO16.addImm(Pred).addReg(PredReg);
7209fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  HI16.addImm(Pred).addReg(PredReg);
7219fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
7229fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  TransferImpOps(MI, LO16, HI16);
7239fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  MI.eraseFromParent();
7249fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng}
7259fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
7269fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Chengbool ARMExpandPseudo::ExpandMI(MachineBasicBlock &MBB,
7279fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                               MachineBasicBlock::iterator MBBI) {
7289fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  MachineInstr &MI = *MBBI;
7299fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  unsigned Opcode = MI.getOpcode();
7309fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  switch (Opcode) {
7319fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    default:
7329fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return false;
733f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach    case ARM::VMOVScc:
734f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach    case ARM::VMOVDcc: {
735f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach      unsigned newOpc = Opcode == ARM::VMOVScc ? ARM::VMOVS : ARM::VMOVD;
736f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(newOpc),
737f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach              MI.getOperand(1).getReg())
738f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach        .addReg(MI.getOperand(2).getReg(),
739f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach                getKillRegState(MI.getOperand(2).isKill()))
740f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach        .addImm(MI.getOperand(3).getImm()) // 'pred'
741f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach        .addReg(MI.getOperand(4).getReg());
742f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach
743f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach      MI.eraseFromParent();
744f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach      return true;
745f219f3135d0ec939acd42801766c17fad41c0173Jim Grosbach    }
746efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach    case ARM::t2MOVCCr:
747d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach    case ARM::MOVCCr: {
748efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach      unsigned Opc = AFI->isThumbFunction() ? ARM::t2MOVr : ARM::MOVr;
749efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(Opc),
750d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach              MI.getOperand(1).getReg())
751d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(MI.getOperand(2).getReg(),
752d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach                getKillRegState(MI.getOperand(2).isKill()))
753d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addImm(MI.getOperand(3).getImm()) // 'pred'
754d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(MI.getOperand(4).getReg())
755d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(0); // 's' bit
756d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach
757d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach      MI.eraseFromParent();
758d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach      return true;
759d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach    }
760152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson    case ARM::MOVCCsi: {
761152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MOVsi),
762152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson              (MI.getOperand(1).getReg()))
763152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson        .addReg(MI.getOperand(2).getReg(),
764152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson                getKillRegState(MI.getOperand(2).isKill()))
765152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson        .addImm(MI.getOperand(3).getImm())
766152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson        .addImm(MI.getOperand(4).getImm()) // 'pred'
767152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson        .addReg(MI.getOperand(5).getReg())
768152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson        .addReg(0); // 's' bit
769152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson
770152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson      MI.eraseFromParent();
771152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson      return true;
772152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson    }
773152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson
77492a202213bb4c20301abf6ab64e46df3695e60bfOwen Anderson    case ARM::MOVCCsr: {
775152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MOVsr),
776d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach              (MI.getOperand(1).getReg()))
777d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(MI.getOperand(2).getReg(),
778d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach                getKillRegState(MI.getOperand(2).isKill()))
779d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(MI.getOperand(3).getReg(),
780d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach                getKillRegState(MI.getOperand(3).isKill()))
781d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addImm(MI.getOperand(4).getImm())
782d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addImm(MI.getOperand(5).getImm()) // 'pred'
783d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(MI.getOperand(6).getReg())
784d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach        .addReg(0); // 's' bit
7853906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach
7863906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach      MI.eraseFromParent();
7873906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach      return true;
7883906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach    }
7893906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach    case ARM::MOVCCi16: {
7903906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MOVi16),
7913906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach              MI.getOperand(1).getReg())
7923906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addImm(MI.getOperand(2).getImm())
7933906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addImm(MI.getOperand(3).getImm()) // 'pred'
7943906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addReg(MI.getOperand(4).getReg());
7953906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach
7963906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach      MI.eraseFromParent();
7973906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach      return true;
7983906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach    }
799efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach    case ARM::t2MOVCCi:
8003906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach    case ARM::MOVCCi: {
801efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach      unsigned Opc = AFI->isThumbFunction() ? ARM::t2MOVi : ARM::MOVi;
802efeedceb41cc0c5ff7918cad870d5820de84b03dJim Grosbach      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(Opc),
8033906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach              MI.getOperand(1).getReg())
8043906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addImm(MI.getOperand(2).getImm())
8053906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addImm(MI.getOperand(3).getImm()) // 'pred'
8063906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addReg(MI.getOperand(4).getReg())
8073906276a8d4b308a19675d5a67b2d6ab3e3b9b6fJim Grosbach        .addReg(0); // 's' bit
808e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach
809e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach      MI.eraseFromParent();
810e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach      return true;
811e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach    }
812e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach    case ARM::MVNCCi: {
813e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach      BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MVNi),
814e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach              MI.getOperand(1).getReg())
815e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach        .addImm(MI.getOperand(2).getImm())
816e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach        .addImm(MI.getOperand(3).getImm()) // 'pred'
817e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach        .addReg(MI.getOperand(4).getReg())
818e672ff84308434ad5517a5c6fc36e691893fca96Jim Grosbach        .addReg(0); // 's' bit
819d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach
820d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach      MI.eraseFromParent();
821d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach      return true;
822d4a16ad85d991ff12487b40ef248833448047eadJim Grosbach    }
823e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach    case ARM::Int_eh_sjlj_dispatchsetup: {
824e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      MachineFunction &MF = *MI.getParent()->getParent();
825e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      const ARMBaseInstrInfo *AII =
826e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        static_cast<const ARMBaseInstrInfo*>(TII);
827e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      const ARMBaseRegisterInfo &RI = AII->getRegisterInfo();
828e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      // For functions using a base pointer, we rematerialize it (via the frame
829e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      // pointer) here since eh.sjlj.setjmp and eh.sjlj.longjmp don't do it
830e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      // for us. Otherwise, expand to nothing.
831e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      if (RI.hasBasePointer(MF)) {
832e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        int32_t NumBytes = AFI->getFramePtrSpillOffset();
833e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        unsigned FramePtr = RI.getFrameRegister(MF);
83416c29b5f285f375be53dabaa73e3e91107485fe4Anton Korobeynikov        assert(MF.getTarget().getFrameLowering()->hasFP(MF) &&
8357920d96964d707a3af85332c98d95b2fabc3d5c9Benjamin Kramer               "base pointer without frame pointer?");
836e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach
837e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        if (AFI->isThumb2Function()) {
838e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach          llvm::emitT2RegPlusImmediate(MBB, MBBI, MI.getDebugLoc(), ARM::R6,
839e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach                                       FramePtr, -NumBytes, ARMCC::AL, 0, *TII);
840e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        } else if (AFI->isThumbFunction()) {
84157caad7a33ff145b71545f10dcfbbf2fd0f595d3Anton Korobeynikov          llvm::emitThumbRegPlusImmediate(MBB, MBBI, MI.getDebugLoc(), ARM::R6,
84257caad7a33ff145b71545f10dcfbbf2fd0f595d3Anton Korobeynikov                                          FramePtr, -NumBytes, *TII, RI);
843e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        } else {
844e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach          llvm::emitARMRegPlusImmediate(MBB, MBBI, MI.getDebugLoc(), ARM::R6,
845e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach                                        FramePtr, -NumBytes, ARMCC::AL, 0,
846e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach                                        *TII);
847e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach        }
8488b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach        // If there's dynamic realignment, adjust for it.
849b8e67fc92b0a508e3782b782baa98a6d56d5d7eaJim Grosbach        if (RI.needsStackRealignment(MF)) {
8508b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          MachineFrameInfo  *MFI = MF.getFrameInfo();
8518b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          unsigned MaxAlign = MFI->getMaxAlignment();
8528b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          assert (!AFI->isThumb1OnlyFunction());
8538b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          // Emit bic r6, r6, MaxAlign
8548b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          unsigned bicOpc = AFI->isThumbFunction() ?
8558b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach            ARM::t2BICri : ARM::BICri;
8568b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach          AddDefaultCC(AddDefaultPred(BuildMI(MBB, MBBI, MI.getDebugLoc(),
8578b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach                                              TII->get(bicOpc), ARM::R6)
8588b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach                                      .addReg(ARM::R6, RegState::Kill)
8598b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach                                      .addImm(MaxAlign-1)));
8608b95c3ebfbd492c2ac863df93e40c11fc2e914fdJim Grosbach        }
861e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach
862e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      }
863e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach      MI.eraseFromParent();
8649fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
865e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach    }
866e4ad387a5a88dae20f0f7578e55170bbc8eee2a9Jim Grosbach
8677032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach    case ARM::MOVsrl_flag:
8687032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach    case ARM::MOVsra_flag: {
8697032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      // These are just fancy MOVs insructions.
870152d4a4bb6b75de740b4b8a9f48abb9069d50c17Owen Anderson      AddDefaultPred(BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(ARM::MOVsi),
871dbbd99faf1d661f03a9dfc1551d7537c34d64beeDuncan Sands                             MI.getOperand(0).getReg())
8729fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                     .addOperand(MI.getOperand(1))
873aa4cc1a6d75f621cbc5eb1db692068db072fbeccJim Grosbach                     .addImm(ARM_AM::getSORegOpc((Opcode == ARM::MOVsrl_flag ?
874aa4cc1a6d75f621cbc5eb1db692068db072fbeccJim Grosbach                                                  ARM_AM::lsr : ARM_AM::asr),
875aa4cc1a6d75f621cbc5eb1db692068db072fbeccJim Grosbach                                                 1)))
8769fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        .addReg(ARM::CPSR, RegState::Define);
8777032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      MI.eraseFromParent();
8789fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
8797032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach    }
8807032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach    case ARM::RRX: {
8817032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      // This encodes as "MOVs Rd, Rm, rrx
8827032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      MachineInstrBuilder MIB =
8838e0c7697fd9b9354856074efc06eea9f6d80015cJim Grosbach        AddDefaultPred(BuildMI(MBB, MBBI, MI.getDebugLoc(),TII->get(ARM::MOVsi),
8847032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach                               MI.getOperand(0).getReg())
8859fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                       .addOperand(MI.getOperand(1))
8869fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                       .addImm(ARM_AM::getSORegOpc(ARM_AM::rrx, 0)))
8877032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach        .addReg(0);
8887032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      TransferImpOps(MI, MIB, MIB);
8897032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach      MI.eraseFromParent();
8909fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
8917032f922b12746b73d6316578b0aea2d812b07b4Jim Grosbach    }
892ff97eb0cf4394090570feaa327d1237ba4b935e2Jim Grosbach    case ARM::tTPsoft:
893a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim    case ARM::TPsoft: {
894971b83b67a9812556cdb97bb58aa96fb37af458dOwen Anderson      MachineInstrBuilder MIB =
895a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim        BuildMI(MBB, MBBI, MI.getDebugLoc(),
896ff97eb0cf4394090570feaa327d1237ba4b935e2Jim Grosbach                TII->get(Opcode == ARM::tTPsoft ? ARM::tBL : ARM::BL))
897a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim        .addExternalSymbol("__aeabi_read_tp", 0);
898a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim
899d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner      MIB->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
900a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim      TransferImpOps(MI, MIB, MIB);
901a0871e79270b2a05f93c9df73bbe24c587faa94eJason W Kim      MI.eraseFromParent();
9029fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
9032fe813af23e682b418ecd477144fe070be325419Bill Wendling    }
904bd916c54b7989ddbab373c61eb1ed2556ca44d27Bob Wilson    case ARM::tLDRpci_pic:
905b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    case ARM::t2LDRpci_pic: {
906b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      unsigned NewLdOpc = (Opcode == ARM::tLDRpci_pic)
907971b83b67a9812556cdb97bb58aa96fb37af458dOwen Anderson        ? ARM::tLDRpci : ARM::t2LDRpci;
908b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      unsigned DstReg = MI.getOperand(0).getReg();
909431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng      bool DstIsDead = MI.getOperand(0).isDead();
910431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng      MachineInstrBuilder MIB1 =
911971b83b67a9812556cdb97bb58aa96fb37af458dOwen Anderson        AddDefaultPred(BuildMI(MBB, MBBI, MI.getDebugLoc(),
912971b83b67a9812556cdb97bb58aa96fb37af458dOwen Anderson                               TII->get(NewLdOpc), DstReg)
913971b83b67a9812556cdb97bb58aa96fb37af458dOwen Anderson                       .addOperand(MI.getOperand(1)));
914d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner      MIB1->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
915431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng      MachineInstrBuilder MIB2 = BuildMI(MBB, MBBI, MI.getDebugLoc(),
916431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng                                         TII->get(ARM::tPICADD))
91701b35c25deee3d4cab339e620c12c721e627d609Bob Wilson        .addReg(DstReg, RegState::Define | getDeadRegState(DstIsDead))
918431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng        .addReg(DstReg)
919431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng        .addOperand(MI.getOperand(2));
920431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng      TransferImpOps(MI, MIB1, MIB2);
921b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      MI.eraseFromParent();
9229fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
923b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    }
924431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng
92553519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng    case ARM::MOV_ga_dyn:
92653519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng    case ARM::MOV_ga_pcrel:
92753519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng    case ARM::MOV_ga_pcrel_ldr:
92853519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng    case ARM::t2MOV_ga_dyn:
92953519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng    case ARM::t2MOV_ga_pcrel: {
93053519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      // Expand into movw + movw. Also "add pc" / ldr [pc] in PIC mode.
9319fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      unsigned LabelId = AFI->createPICLabelUId();
932b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      unsigned DstReg = MI.getOperand(0).getReg();
933431300797b84600fc9b4eb8ca283277d3e0674ebEvan Cheng      bool DstIsDead = MI.getOperand(0).isDead();
9349fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      const MachineOperand &MO1 = MI.getOperand(1);
9359fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      const GlobalValue *GV = MO1.getGlobal();
9369fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      unsigned TF = MO1.getTargetFlags();
937aa4cc1a6d75f621cbc5eb1db692068db072fbeccJim Grosbach      bool isARM = (Opcode != ARM::t2MOV_ga_pcrel && Opcode!=ARM::t2MOV_ga_dyn);
93853519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      bool isPIC = (Opcode != ARM::MOV_ga_dyn && Opcode != ARM::t2MOV_ga_dyn);
93953519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      unsigned LO16Opc = isARM ? ARM::MOVi16_ga_pcrel : ARM::t2MOVi16_ga_pcrel;
940aa4cc1a6d75f621cbc5eb1db692068db072fbeccJim Grosbach      unsigned HI16Opc = isARM ? ARM::MOVTi16_ga_pcrel :ARM::t2MOVTi16_ga_pcrel;
94153519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      unsigned LO16TF = isPIC
94253519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        ? ARMII::MO_LO16_NONLAZY_PIC : ARMII::MO_LO16_NONLAZY;
94353519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      unsigned HI16TF = isPIC
94453519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        ? ARMII::MO_HI16_NONLAZY_PIC : ARMII::MO_HI16_NONLAZY;
9459fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      unsigned PICAddOpc = isARM
94653519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        ? (Opcode == ARM::MOV_ga_pcrel_ldr ? ARM::PICLDR : ARM::PICADD)
9479fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        : ARM::tPICADD;
9489fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      MachineInstrBuilder MIB1 = BuildMI(MBB, MBBI, MI.getDebugLoc(),
9499fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                                         TII->get(LO16Opc), DstReg)
95053519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        .addGlobalAddress(GV, MO1.getOffset(), TF | LO16TF)
9519fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        .addImm(LabelId);
95253519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      MachineInstrBuilder MIB2 = BuildMI(MBB, MBBI, MI.getDebugLoc(),
95353519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng                                         TII->get(HI16Opc), DstReg)
9549fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        .addReg(DstReg)
95553519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        .addGlobalAddress(GV, MO1.getOffset(), TF | HI16TF)
9569fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        .addImm(LabelId);
95753519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      if (!isPIC) {
95853519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        TransferImpOps(MI, MIB1, MIB2);
95953519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        MI.eraseFromParent();
96053519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        return true;
96153519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      }
96253519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng
96353519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      MachineInstrBuilder MIB3 = BuildMI(MBB, MBBI, MI.getDebugLoc(),
9649fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng                                         TII->get(PICAddOpc))
96501b35c25deee3d4cab339e620c12c721e627d609Bob Wilson        .addReg(DstReg, RegState::Define | getDeadRegState(DstIsDead))
9669fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng        .addReg(DstReg).addImm(LabelId);
9679fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      if (isARM) {
96853519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        AddDefaultPred(MIB3);
96953519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng        if (Opcode == ARM::MOV_ga_pcrel_ldr)
970d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner          MIB2->setMemRefs(MI.memoperands_begin(), MI.memoperands_end());
9715de5d4b6d0eb3fd379fa571d82f6fa764460b3b8Evan Cheng      }
97253519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng      TransferImpOps(MI, MIB1, MIB3);
973b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng      MI.eraseFromParent();
9749fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
975d929f7773812535271ae6969331f8164c1f7f3b2Evan Cheng    }
976d929f7773812535271ae6969331f8164c1f7f3b2Evan Cheng
9779fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::MOVi32imm:
9789fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::MOVCCi32imm:
9799fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::t2MOVi32imm:
9809fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::t2MOVCCi32imm:
9819fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      ExpandMOV32BitImm(MBB, MBBI);
9829fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
9839fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
984848b0c39b11801614c47e460248b60e8d40eb257Owen Anderson    case ARM::VLDMQIA: {
985848b0c39b11801614c47e460248b60e8d40eb257Owen Anderson      unsigned NewOpc = ARM::VLDMDIA;
9869d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MachineInstrBuilder MIB =
98773fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling        BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(NewOpc));
9889d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned OpIdx = 0;
98973fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
9909d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Grab the Q register destination.
9919d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      bool DstIsDead = MI.getOperand(OpIdx).isDead();
9929d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned DstReg = MI.getOperand(OpIdx++).getReg();
99373fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
99473fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling      // Copy the source register.
9959d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
99673fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
9979d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Copy the predicate operands.
9989d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
9999d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
100073fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10019d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Add the destination operands (D subregs).
10029d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned D0 = TRI->getSubReg(DstReg, ARM::dsub_0);
10039d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned D1 = TRI->getSubReg(DstReg, ARM::dsub_1);
10049d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addReg(D0, RegState::Define | getDeadRegState(DstIsDead))
10059d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson        .addReg(D1, RegState::Define | getDeadRegState(DstIsDead));
100673fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10079d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Add an implicit def for the super-register.
10089d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addReg(DstReg, RegState::ImplicitDefine | getDeadRegState(DstIsDead));
10099d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      TransferImpOps(MI, MIB, MIB);
10109d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MI.eraseFromParent();
10119fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
10129d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson    }
10139d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson
1014848b0c39b11801614c47e460248b60e8d40eb257Owen Anderson    case ARM::VSTMQIA: {
1015848b0c39b11801614c47e460248b60e8d40eb257Owen Anderson      unsigned NewOpc = ARM::VSTMDIA;
10169d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MachineInstrBuilder MIB =
101773fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling        BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(NewOpc));
10189d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned OpIdx = 0;
101973fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10209d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Grab the Q register source.
10219d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      bool SrcIsKill = MI.getOperand(OpIdx).isKill();
10229d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned SrcReg = MI.getOperand(OpIdx++).getReg();
102373fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
102473fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling      // Copy the destination register.
10259d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
102673fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10279d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Copy the predicate operands.
10289d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
10299d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addOperand(MI.getOperand(OpIdx++));
103073fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10319d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      // Add the source operands (D subregs).
10329d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned D0 = TRI->getSubReg(SrcReg, ARM::dsub_0);
10339d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      unsigned D1 = TRI->getSubReg(SrcReg, ARM::dsub_1);
10349d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MIB.addReg(D0).addReg(D1);
103573fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
1036d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner      if (SrcIsKill)      // Add an implicit kill for the Q register.
1037d7d030a44796adc73a6eaa939cd17e52047734c1Chris Lattner        MIB->addRegisterKilled(SrcReg, TRI, true);
103873fe34a3ee866867d5028f4a9afa2c3b8efebcbaBill Wendling
10399d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      TransferImpOps(MI, MIB, MIB);
10409d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson      MI.eraseFromParent();
10419fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
10429d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson    }
104365dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach    case ARM::VDUPfqf:
104465dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach    case ARM::VDUPfdf:{
10458b8515c225c799e9df69bde8ffffa3c72cec9445Jim Grosbach      unsigned NewOpc = Opcode == ARM::VDUPfqf ? ARM::VDUPLN32q :
10468b8515c225c799e9df69bde8ffffa3c72cec9445Jim Grosbach        ARM::VDUPLN32d;
104765dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MachineInstrBuilder MIB =
104865dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach        BuildMI(MBB, MBBI, MI.getDebugLoc(), TII->get(NewOpc));
104965dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      unsigned OpIdx = 0;
105065dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      unsigned SrcReg = MI.getOperand(1).getReg();
105165dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      unsigned Lane = getARMRegisterNumbering(SrcReg) & 1;
105265dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      unsigned DReg = TRI->getMatchingSuperReg(SrcReg,
1053b181ad34869c4fa19c527ab8dfd5d438ad8b9bb3Jim Grosbach                            Lane & 1 ? ARM::ssub_1 : ARM::ssub_0,
1054b181ad34869c4fa19c527ab8dfd5d438ad8b9bb3Jim Grosbach                            &ARM::DPR_VFP2RegClass);
105565dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      // The lane is [0,1] for the containing DReg superregister.
105665dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      // Copy the dst/src register operands.
105765dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MIB.addOperand(MI.getOperand(OpIdx++));
105865dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MIB.addReg(DReg);
105965dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      ++OpIdx;
106065dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      // Add the lane select operand.
106165dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MIB.addImm(Lane);
106265dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      // Add the predicate operands.
106365dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MIB.addOperand(MI.getOperand(OpIdx++));
106465dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MIB.addOperand(MI.getOperand(OpIdx++));
106565dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach
106665dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      TransferImpOps(MI, MIB, MIB);
106765dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach      MI.eraseFromParent();
10689fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
106965dc30340cf874307eae11ec1195a1cd6d27fb13Jim Grosbach    }
10709d4ebc0eb80c770aab5b51ca459748a6ac8f1699Bob Wilson
1071ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1q8Pseudo:
1072ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1q16Pseudo:
1073ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1q32Pseudo:
1074ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1q64Pseudo:
107510b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q8PseudoWB_register:
107610b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q16PseudoWB_register:
107710b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q32PseudoWB_register:
107810b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q64PseudoWB_register:
107910b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q8PseudoWB_fixed:
108010b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q16PseudoWB_fixed:
108110b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q32PseudoWB_fixed:
108210b90a9bbf7dcae1568c03a03f9606f5395f2144Jim Grosbach    case ARM::VLD1q64PseudoWB_fixed:
1083ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d8Pseudo:
1084ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d16Pseudo:
1085ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d32Pseudo:
1086ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q8Pseudo:
1087ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q16Pseudo:
1088ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q32Pseudo:
1089ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d8Pseudo_UPD:
1090ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d16Pseudo_UPD:
1091ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2d32Pseudo_UPD:
1092ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q8Pseudo_UPD:
1093ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q16Pseudo_UPD:
1094ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD2q32Pseudo_UPD:
1095f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d8Pseudo:
1096f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d16Pseudo:
1097f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d32Pseudo:
1098ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1d64TPseudo:
1099f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d8Pseudo_UPD:
1100f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d16Pseudo_UPD:
1101f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3d32Pseudo_UPD:
1102ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1d64TPseudo_UPD:
1103f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q8Pseudo_UPD:
1104f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q16Pseudo_UPD:
1105f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q32Pseudo_UPD:
11067de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD3q8oddPseudo:
11077de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD3q16oddPseudo:
11087de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD3q32oddPseudo:
1109f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q8oddPseudo_UPD:
1110f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q16oddPseudo_UPD:
1111f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD3q32oddPseudo_UPD:
1112f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d8Pseudo:
1113f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d16Pseudo:
1114f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d32Pseudo:
1115ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1d64QPseudo:
1116f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d8Pseudo_UPD:
1117f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d16Pseudo_UPD:
1118f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4d32Pseudo_UPD:
1119ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson    case ARM::VLD1d64QPseudo_UPD:
1120f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q8Pseudo_UPD:
1121f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q16Pseudo_UPD:
1122f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q32Pseudo_UPD:
11237de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD4q8oddPseudo:
11247de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD4q16oddPseudo:
11257de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VLD4q32oddPseudo:
1126f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q8oddPseudo_UPD:
1127f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q16oddPseudo_UPD:
1128f572191fe43025bd85ab5d398a5b53305fdc6b8bBob Wilson    case ARM::VLD4q32oddPseudo_UPD:
11292a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq8Pseudo:
11302a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq16Pseudo:
11312a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq32Pseudo:
11322a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq8Pseudo_UPD:
11332a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq16Pseudo_UPD:
11342a0e97431ecef2aa6a24a16ced207d5b53fcfc2dBob Wilson    case ARM::VLD1DUPq32Pseudo_UPD:
1135b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd8Pseudo:
1136b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd16Pseudo:
1137b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd32Pseudo:
1138b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd8Pseudo_UPD:
1139b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd16Pseudo_UPD:
1140b1dfa7a8e0c1972231bee636afd5239b009ba4daBob Wilson    case ARM::VLD2DUPd32Pseudo_UPD:
114186c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd8Pseudo:
114286c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd16Pseudo:
114386c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd32Pseudo:
114486c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd8Pseudo_UPD:
114586c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd16Pseudo_UPD:
114686c6d80a7a20fa7decc3e914be5d1cb0f7f29a6fBob Wilson    case ARM::VLD3DUPd32Pseudo_UPD:
11476c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd8Pseudo:
11486c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd16Pseudo:
11496c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd32Pseudo:
11506c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd8Pseudo_UPD:
11516c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd16Pseudo_UPD:
11526c4c982f83eea655e0f14610d2689fad722aeb7dBob Wilson    case ARM::VLD4DUPd32Pseudo_UPD:
11538466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      ExpandVLD(MBBI);
11549fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
1155ffde080ae615906545eb33dab30e7bc47c2ac838Bob Wilson
1156e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q8Pseudo:
1157e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q16Pseudo:
1158e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q32Pseudo:
1159e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q64Pseudo:
1160e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q8Pseudo_UPD:
1161e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q16Pseudo_UPD:
1162e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q32Pseudo_UPD:
1163e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST1q64Pseudo_UPD:
1164e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d8Pseudo:
1165e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d16Pseudo:
1166e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d32Pseudo:
1167e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q8Pseudo:
1168e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q16Pseudo:
1169e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q32Pseudo:
1170e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d8Pseudo_UPD:
1171e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d16Pseudo_UPD:
1172e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2d32Pseudo_UPD:
1173e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q8Pseudo_UPD:
1174e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q16Pseudo_UPD:
1175e5ce4f68c786696a96acf1f1aa5431652abb6ce7Bob Wilson    case ARM::VST2q32Pseudo_UPD:
117601ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d8Pseudo:
117701ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d16Pseudo:
117801ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d32Pseudo:
117901ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST1d64TPseudo:
118001ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d8Pseudo_UPD:
118101ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d16Pseudo_UPD:
118201ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3d32Pseudo_UPD:
118301ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST1d64TPseudo_UPD:
118401ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q8Pseudo_UPD:
118501ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q16Pseudo_UPD:
118601ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q32Pseudo_UPD:
11877de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST3q8oddPseudo:
11887de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST3q16oddPseudo:
11897de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST3q32oddPseudo:
119001ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q8oddPseudo_UPD:
119101ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q16oddPseudo_UPD:
119201ba461af7eafc9d181a5c349487691f2e801438Bob Wilson    case ARM::VST3q32oddPseudo_UPD:
1193709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d8Pseudo:
1194709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d16Pseudo:
1195709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d32Pseudo:
119670e48b23a3455e4689ee24cec4eb153d67223e86Bob Wilson    case ARM::VST1d64QPseudo:
1197709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d8Pseudo_UPD:
1198709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d16Pseudo_UPD:
1199709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4d32Pseudo_UPD:
120070e48b23a3455e4689ee24cec4eb153d67223e86Bob Wilson    case ARM::VST1d64QPseudo_UPD:
1201709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q8Pseudo_UPD:
1202709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q16Pseudo_UPD:
1203709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q32Pseudo_UPD:
12047de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST4q8oddPseudo:
12057de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST4q16oddPseudo:
12067de6814405ab02591235f0826b8e6d98fd76c8baBob Wilson    case ARM::VST4q32oddPseudo:
1207709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q8oddPseudo_UPD:
1208709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q16oddPseudo_UPD:
1209709d59255a3100c7d440c93069efa1f726677a27Bob Wilson    case ARM::VST4q32oddPseudo_UPD:
12108466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      ExpandVST(MBBI);
12119fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
12128466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson
1213b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq8Pseudo:
1214b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq16Pseudo:
1215b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq32Pseudo:
1216b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq8Pseudo_UPD:
1217b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq16Pseudo_UPD:
1218b796bbb6de19872c0c1921b8b3f05206dd33c97dBob Wilson    case ARM::VLD1LNq32Pseudo_UPD:
12198466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd8Pseudo:
12208466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd16Pseudo:
12218466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd32Pseudo:
12228466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNq16Pseudo:
12238466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNq32Pseudo:
12248466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd8Pseudo_UPD:
12258466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd16Pseudo_UPD:
12268466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNd32Pseudo_UPD:
12278466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNq16Pseudo_UPD:
12288466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD2LNq32Pseudo_UPD:
12298466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd8Pseudo:
12308466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd16Pseudo:
12318466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd32Pseudo:
12328466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNq16Pseudo:
12338466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNq32Pseudo:
12348466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd8Pseudo_UPD:
12358466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd16Pseudo_UPD:
12368466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNd32Pseudo_UPD:
12378466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNq16Pseudo_UPD:
12388466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD3LNq32Pseudo_UPD:
12398466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd8Pseudo:
12408466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd16Pseudo:
12418466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd32Pseudo:
12428466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNq16Pseudo:
12438466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNq32Pseudo:
12448466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd8Pseudo_UPD:
12458466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd16Pseudo_UPD:
12468466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNd32Pseudo_UPD:
12478466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNq16Pseudo_UPD:
12488466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VLD4LNq32Pseudo_UPD:
1249d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq8Pseudo:
1250d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq16Pseudo:
1251d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq32Pseudo:
1252d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq8Pseudo_UPD:
1253d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq16Pseudo_UPD:
1254d0c6bc220433fab06bc1507f963ea5883fdc4f69Bob Wilson    case ARM::VST1LNq32Pseudo_UPD:
12558466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd8Pseudo:
12568466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd16Pseudo:
12578466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd32Pseudo:
12588466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNq16Pseudo:
12598466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNq32Pseudo:
12608466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd8Pseudo_UPD:
12618466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd16Pseudo_UPD:
12628466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNd32Pseudo_UPD:
12638466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNq16Pseudo_UPD:
12648466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST2LNq32Pseudo_UPD:
12658466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd8Pseudo:
12668466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd16Pseudo:
12678466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd32Pseudo:
12688466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNq16Pseudo:
12698466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNq32Pseudo:
12708466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd8Pseudo_UPD:
12718466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd16Pseudo_UPD:
12728466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNd32Pseudo_UPD:
12738466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNq16Pseudo_UPD:
12748466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST3LNq32Pseudo_UPD:
12758466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd8Pseudo:
12768466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd16Pseudo:
12778466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd32Pseudo:
12788466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNq16Pseudo:
12798466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNq32Pseudo:
12808466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd8Pseudo_UPD:
12818466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd16Pseudo_UPD:
12828466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNd32Pseudo_UPD:
12838466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNq16Pseudo_UPD:
12848466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson    case ARM::VST4LNq32Pseudo_UPD:
12858466fa1842ad4f2d6fadcf5c23c15319ae96b972Bob Wilson      ExpandLaneOp(MBBI);
12869fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng      return true;
12879fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
12889fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBL2Pseudo: ExpandVTBL(MBBI, ARM::VTBL2, false, 2); return true;
12899fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBL3Pseudo: ExpandVTBL(MBBI, ARM::VTBL3, false, 3); return true;
12909fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBL4Pseudo: ExpandVTBL(MBBI, ARM::VTBL4, false, 4); return true;
12919fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBX2Pseudo: ExpandVTBL(MBBI, ARM::VTBX2, true, 2); return true;
12929fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBX3Pseudo: ExpandVTBL(MBBI, ARM::VTBX3, true, 3); return true;
12939fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    case ARM::VTBX4Pseudo: ExpandVTBL(MBBI, ARM::VTBX4, true, 4); return true;
12949fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  }
12959fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
12969fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  return false;
12979fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng}
1298709d59255a3100c7d440c93069efa1f726677a27Bob Wilson
12999fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Chengbool ARMExpandPseudo::ExpandMBB(MachineBasicBlock &MBB) {
13009fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  bool Modified = false;
13019fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng
13029fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  MachineBasicBlock::iterator MBBI = MBB.begin(), E = MBB.end();
13039fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  while (MBBI != E) {
13049fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    MachineBasicBlock::iterator NMBBI = llvm::next(MBBI);
13059fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng    Modified |= ExpandMI(MBB, MBBI);
1306b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    MBBI = NMBBI;
1307b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  }
1308b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
1309b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  return Modified;
1310b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng}
1311b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
1312b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Chengbool ARMExpandPseudo::runOnMachineFunction(MachineFunction &MF) {
131353519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng  const TargetMachine &TM = MF.getTarget();
131453519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng  TII = static_cast<const ARMBaseInstrInfo*>(TM.getInstrInfo());
131553519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng  TRI = TM.getRegisterInfo();
131653519f015e3e84e9f57b677cc8724805a6009b73Evan Cheng  STI = &TM.getSubtarget<ARMSubtarget>();
13179fe2009956fc40f3aea46fb3c38dcfb61c4aca46Evan Cheng  AFI = MF.getInfo<ARMFunctionInfo>();
1318b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
1319b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  bool Modified = false;
1320b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  for (MachineFunction::iterator MFI = MF.begin(), E = MF.end(); MFI != E;
1321b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng       ++MFI)
1322b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng    Modified |= ExpandMBB(*MFI);
1323e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund Olesen  if (VerifyARMPseudo)
1324e69438fb87623dd6fdeeb99b647a46e877eb6183Jakob Stoklund Olesen    MF.verify(this, "After expanding ARM pseudo instructions.");
1325b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  return Modified;
1326b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng}
1327b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng
1328b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng/// createARMExpandPseudoPass - returns an instance of the pseudo instruction
1329b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng/// expansion pass.
1330b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan ChengFunctionPass *llvm::createARMExpandPseudoPass() {
1331b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng  return new ARMExpandPseudo();
1332b9803a8fa65f043c96612fa9c5aeeee12739db2bEvan Cheng}
1333