Searched refs:PPCInstr_InsertExpD128 (Results 1 - 3 of 3) sorted by relevance

/external/valgrind/main/VEX/priv/
H A Dhost_ppc_defs.h1047 extern PPCInstr* PPCInstr_InsertExpD128 ( PPCFpOp op, HReg dst_hi,
H A Dhost_ppc_defs.c1150 PPCInstr* PPCInstr_InsertExpD128 ( PPCFpOp op, HReg dst_hi, HReg dst_lo, function
H A Dhost_ppc_isel.c4158 addInstr(env, PPCInstr_InsertExpD128(Pfp_DIEXQ,

Completed in 107 milliseconds