Searched refs:vec_or (Results 1 - 9 of 9) sorted by relevance

/external/clang/test/CodeGen/
H A Dbuiltins-ppc-altivec.c819 /* vec_or */
820 res_vsc = vec_or(vsc, vsc); // CHECK: or <16 x i8>
821 res_vsc = vec_or(vbc, vsc); // CHECK: or <16 x i8>
822 res_vsc = vec_or(vsc, vbc); // CHECK: or <16 x i8>
823 res_vuc = vec_or(vuc, vuc); // CHECK: or <16 x i8>
824 res_vuc = vec_or(vbc, vuc); // CHECK: or <16 x i8>
825 res_vuc = vec_or(vuc, vbc); // CHECK: or <16 x i8>
826 res_vbc = vec_or(vbc, vbc); // CHECK: or <16 x i8>
827 res_vs = vec_or(vs, vs); // CHECK: or <8 x i16>
828 res_vs = vec_or(vb
[all...]
/external/valgrind/main/none/tests/ppc32/
H A DtestVMX.stdout.exp214 Function vec_or [type char] ===> OK
215 Function vec_or [type unsigened char] ===> OK
216 Function vec_or [type short] ===> OK
217 Function vec_or [type unsigned short] ===> OK
218 Function vec_or [type integer] ===> OK
219 Function vec_or [type unsigened int] ===> OK
H A DtestVMX.c3292 /* Function vec_or */
3295 Caux1.v = vec_or( Ccons1, Ccons2 );
3302 printf("Function vec_or [type char] ===> Error\n");
3304 printf("Function vec_or [type char] ===> OK\n");
3307 UCaux1.v = vec_or( UCcons1, UCcons2 );
3317 printf("Function vec_or [type unsigened char] ===> Error\n");
3319 printf("Function vec_or [type unsigened char] ===> OK\n");
3322 Saux1.v = vec_or( Scons1, Scons2 );
3329 printf("Function vec_or [type short] ===> Error\n");
3331 printf("Function vec_or [typ
[all...]
/external/eigen/Eigen/src/Core/arch/AltiVec/
H A DPacketMath.h247 template<> EIGEN_STRONG_INLINE Packet4f por<Packet4f>(const Packet4f& a, const Packet4f& b) { return vec_or(a, b); }
248 template<> EIGEN_STRONG_INLINE Packet4i por<Packet4i>(const Packet4i& a, const Packet4i& b) { return vec_or(a, b); }
H A DComplex.h93 template<> EIGEN_STRONG_INLINE Packet2cf por <Packet2cf>(const Packet2cf& a, const Packet2cf& b) { return Packet2cf(vec_or(a.v,b.v)); }
/external/qemu/distrib/sdl-1.2.15/src/video/
H A DSDL_blit_A.c922 vdst1 = vec_or((vector unsigned char)vrpixel, (vector unsigned char)vgpixel);
923 vdst1 = vec_or(vdst1, (vector unsigned char)vbpixel);
1035 vd = vec_or(vd, valphamask);
1137 vd = vec_or(vd, vdstalpha);
1236 vd = vec_or(vd, vdstalpha);
1328 vd = vec_or(vd, valphamask);
1413 vd = vec_or(vd, valphamask);
H A DSDL_blit_N.c227 vdst = vec_or((vector unsigned char)vrpixel, (vector unsigned char)vgpixel);
229 vdst = vec_or(vdst, (vector unsigned char)vbpixel);
/external/clang/lib/Headers/
H A Daltivec.h3748 /* vec_or */
3750 #define __builtin_altivec_vor vec_or
3753 vec_or(vector signed char __a, vector signed char __b) function
3759 vec_or(vector bool char __a, vector signed char __b) function
3765 vec_or(vector signed char __a, vector bool char __b) function
3771 vec_or(vector unsigned char __a, vector unsigned char __b) function
3777 vec_or(vector bool char __a, vector unsigned char __b) function
3783 vec_or(vector unsigned char __a, vector bool char __b) function
3789 vec_or(vector bool char __a, vector bool char __b) function
3795 vec_or(vecto function
3801 vec_or(vector bool short __a, vector short __b) function
3807 vec_or(vector short __a, vector bool short __b) function
3813 vec_or(vector unsigned short __a, vector unsigned short __b) function
3819 vec_or(vector bool short __a, vector unsigned short __b) function
3825 vec_or(vector unsigned short __a, vector bool short __b) function
3831 vec_or(vector bool short __a, vector bool short __b) function
3837 vec_or(vector int __a, vector int __b) function
3843 vec_or(vector bool int __a, vector int __b) function
3849 vec_or(vector int __a, vector bool int __b) function
3855 vec_or(vector unsigned int __a, vector unsigned int __b) function
3861 vec_or(vector bool int __a, vector unsigned int __b) function
3867 vec_or(vector unsigned int __a, vector bool int __b) function
3873 vec_or(vector bool int __a, vector bool int __b) function
3879 vec_or(vector float __a, vector float __b) function
3886 vec_or(vector bool int __a, vector float __b) function
3893 vec_or(vector float __a, vector bool int __b) function
[all...]
/external/clang/lib/include/
H A Daltivec.h3748 /* vec_or */
3750 #define __builtin_altivec_vor vec_or
3753 vec_or(vector signed char __a, vector signed char __b) function
3759 vec_or(vector bool char __a, vector signed char __b) function
3765 vec_or(vector signed char __a, vector bool char __b) function
3771 vec_or(vector unsigned char __a, vector unsigned char __b) function
3777 vec_or(vector bool char __a, vector unsigned char __b) function
3783 vec_or(vector unsigned char __a, vector bool char __b) function
3789 vec_or(vector bool char __a, vector bool char __b) function
3795 vec_or(vecto function
3801 vec_or(vector bool short __a, vector short __b) function
3807 vec_or(vector short __a, vector bool short __b) function
3813 vec_or(vector unsigned short __a, vector unsigned short __b) function
3819 vec_or(vector bool short __a, vector unsigned short __b) function
3825 vec_or(vector unsigned short __a, vector bool short __b) function
3831 vec_or(vector bool short __a, vector bool short __b) function
3837 vec_or(vector int __a, vector int __b) function
3843 vec_or(vector bool int __a, vector int __b) function
3849 vec_or(vector int __a, vector bool int __b) function
3855 vec_or(vector unsigned int __a, vector unsigned int __b) function
3861 vec_or(vector bool int __a, vector unsigned int __b) function
3867 vec_or(vector unsigned int __a, vector bool int __b) function
3873 vec_or(vector bool int __a, vector bool int __b) function
3879 vec_or(vector float __a, vector float __b) function
3886 vec_or(vector bool int __a, vector float __b) function
3893 vec_or(vector float __a, vector bool int __b) function
[all...]

Completed in 165 milliseconds