Searched defs:Width (Results 76 - 100 of 111) sorted by relevance

12345

/external/llvm/lib/Target/AArch64/InstPrinter/
H A DAArch64InstPrinter.cpp173 int Width = ImmS + 1; local
175 << getRegisterName(Op2.getReg()) << ", #" << LSB << ", #" << Width; local
181 int Width = ImmS - ImmR + 1; local
185 << ", #" << LSB << ", #" << Width; local
1025 unsigned Width) {
1037 O << " #" << Log2_32(Width / 8);
1023 printMemExtend(const MCInst *MI, unsigned OpNum, raw_ostream &O, char SrcRegKind, unsigned Width) argument
/external/llvm/lib/Target/R600/
H A DAMDGPUISelDAGToDAG.cpp437 ConstantSDNode *Width = dyn_cast<ConstantSDNode>(N->getOperand(2)); local
438 if (!Width)
448 uint32_t WidthVal = Width->getZExtValue();
H A DR600ISelLowering.cpp1053 SDValue Width = DAG.getConstant(VT.getSizeInBits(), VT); local
1055 SDValue BigShift = DAG.getNode(ISD::SUB, DL, VT, Shift, Width);
1073 Hi = DAG.getSelectCC(DL, Shift, Width, HiSmall, HiBig, ISD::SETULT);
1074 Lo = DAG.getSelectCC(DL, Shift, Width, LoSmall, LoBig, ISD::SETULT);
1091 SDValue Width = DAG.getConstant(VT.getSizeInBits(), VT); local
1093 SDValue BigShift = DAG.getNode(ISD::SUB, DL, VT, Shift, Width);
1111 Hi = DAG.getSelectCC(DL, Shift, Width, HiSmall, HiBig, ISD::SETULT);
1112 Lo = DAG.getSelectCC(DL, Shift, Width, LoSmall, LoBig, ISD::SETULT);
H A DAMDGPUISelLowering.cpp1891 uint32_t Offset, uint32_t Width) {
1892 if (Width + Offset < 32) {
1893 IntTy Result = (Src0 << (32 - Offset - Width)) >> (32 - Width);
1955 ConstantSDNode *Width = dyn_cast<ConstantSDNode>(N->getOperand(2)); local
1956 if (!Width)
1959 uint32_t WidthVal = Width->getZExtValue() & 0x1f;
2227 uint32_t Width = CWidth->getZExtValue() & 0x1f; local
2228 if (Width == 0) {
2237 KnownOne = APInt::getHighBitsSet(BitWidth, BitWidth - Width);
1890 constantFoldBFE(SelectionDAG &DAG, IntTy Src0, uint32_t Offset, uint32_t Width) argument
2252 ConstantSDNode *Width = dyn_cast<ConstantSDNode>(Op.getOperand(2)); local
2267 ConstantSDNode *Width = dyn_cast<ConstantSDNode>(Op.getOperand(2)); local
[all...]
/external/llvm/utils/TableGen/
H A DFixedLenDecoderEmitter.cpp39 unsigned Base, Width, Offset; member in struct:__anon26592::EncodingField
41 : Base(B), Width(W), Offset(O) { }
51 void addField(unsigned Base, unsigned Width, unsigned Offset) { argument
52 Fields.push_back(EncodingField(Base, Width, Offset));
330 // Width of instructions
1072 << "(insn, " << OI->Base << ", " << OI->Width
1079 << "(insn, " << OI->Base << ", " << OI->Width
1947 unsigned Width = 0;
1960 OpInfo.addField(Base, Width, Offset);
1962 Width
[all...]
/external/pdfium/core/include/fxcrt/
H A Dfx_coordinates.h361 baseType Width() const function in class:CFX_RTemplate
548 int Width() const function in struct:FX_RECT
672 FX_FLOAT Width() const function in class:CFX_FloatRect
/external/chromium_org/content/shell/renderer/test_runner/
H A Dweb_ax_object_proxy.cc488 .SetProperty("width", &WebAXObjectProxy::Width)
641 int WebAXObjectProxy::Width() { function in class:content::WebAXObjectProxy
/external/chromium_org/third_party/webrtc/modules/interface/
H A Dmodule_common_types.h474 uint32_t Width() const { return _width; } function in class:webrtc::VideoFrame
/external/clang/lib/AST/
H A DASTDiagnostic.cpp1194 static bool IsSameConvertedInt(unsigned Width, const llvm::APSInt &X, argument
1196 llvm::APInt ConvertedX = X.extOrTrunc(Width);
1197 llvm::APInt ConvertedY = Y.extOrTrunc(Width);
H A DMicrosoftMangle.cpp1899 uint64_t Width = getASTContext().getTypeSize(T); local
1903 if (Width == 64 && ET->getKind() == BuiltinType::LongLong) {
1905 } else if (Width == 128 || Width == 256) {
1907 Out << "T__m" << Width; local
1909 Out << "T__m" << Width << 'i'; local
1911 Out << "U__m" << Width << 'd'; local
2441 // Width : 32
H A DRecordLayoutBuilder.cpp2485 unsigned Width = FD->getBitWidthValue(Context); local
2486 if (Width == 0) {
2493 if (Width > Context.toBits(Info.Size))
2494 Width = Context.toBits(Info.Size);
2499 CurrentBitfieldSize == Info.Size && Width <= RemainingBitsInField) {
2501 RemainingBitsInField -= Width;
2516 RemainingBitsInField = Context.toBits(Info.Size) - Width;
H A DDecl.cpp3292 void FieldDecl::setBitWidth(Expr *Width) { argument
3295 InitializerOrBitWidth.setPointer(Width);
/external/llvm/lib/Analysis/
H A DInstructionSimplify.cpp1931 unsigned Width = CI->getBitWidth(); local
1932 APInt Lower = APInt(Width, 0);
1933 APInt Upper = APInt(Width, 0);
1947 APInt NegOne = APInt::getAllOnesValue(Width);
1962 APInt IntMin = APInt::getSignedMinValue(Width);
1963 APInt IntMax = APInt::getSignedMaxValue(Width);
1971 APInt NegOne = APInt::getAllOnesValue(Width);
1972 if (CI2->getValue().ult(Width))
1975 // 'lshr CI2, x' produces [CI2 >> (Width-1), CI2].
1976 unsigned ShiftAmount = Width
[all...]
/external/llvm/lib/Target/AArch64/
H A DAArch64ISelDAGToDAG.cpp115 template<int Width>
118 return SelectAddrModeWRO(N, Width / 8, Base, Offset, SignExtend, DoShift);
121 template<int Width>
124 return SelectAddrModeXRO(N, Width / 8, Base, Offset, SignExtend, DoShift);
186 bool SelectCVTFixedPosOperand(SDValue N, SDValue &FixedPos, unsigned Width);
1477 unsigned Width = VT.getSizeInBits() - Trunc_bits - Srl_imm - 1; local
1482 MSB = LSB + Width;
1861 int DstLSB, Width; local
1872 Width = ImmS - ImmR + 1;
1876 if (Width <
[all...]
/external/llvm/lib/Transforms/InstCombine/
H A DInstCombineCalls.cpp698 unsigned Width = CIWidth->getZExtValue(); local
699 unsigned End = Start + Width;
/external/llvm/lib/Transforms/Scalar/
H A DIndVarSimplify.cpp670 uint64_t Width = SE->getTypeSizeInBits(Ty); local
671 if (DL && !DL->isLegalInteger(Width))
684 if (Width > SE->getTypeSizeInBits(WI.WidestNativeType))
/external/webrtc/src/modules/interface/
H A Dmodule_common_types.h499 WebRtc_UWord32 Width() const {return _width;} function in class:webrtc::VideoFrame
/external/llvm/lib/Target/ARM/
H A DARMISelDAGToDAG.cpp2309 unsigned Width = CountTrailingOnes_32(And_imm) - 1; local
2314 if ((LSB + Width + 1) == N->getValueType(0).getSizeInBits()) {
2336 CurDAG->getTargetConstant(Width, MVT::i32),
2352 unsigned Width = 32 - Srl_imm - 1; local
2359 CurDAG->getTargetConstant(Width, MVT::i32),
/external/pdfium/core/src/fxge/Microsoft SDK/include/
H A DGdiPlusImaging.h166 UINT Width; member in class:BitmapData
/external/chromium_org/third_party/mesa/src/src/mesa/drivers/common/
H A Dmeta.c212 GLsizei Width, Height; /**< Current texture size */ member in struct:temp_texture
312 GLint Width, Height; member in struct:decompress_state
725 _mesa_Ortho(0.0, ctx->DrawBuffer->Width,
753 save->ViewportW = ctx->Viewport.Width;
758 ctx->Viewport.Width != ctx->DrawBuffer->Width ||
761 ctx->DrawBuffer->Width, ctx->DrawBuffer->Height);
931 save->Scissor.Width, save->Scissor.Height);
1087 save->ViewportW != ctx->Viewport.Width ||
1255 if (width > tex->Width ||
[all...]
/external/clang/lib/CodeGen/
H A DCGExprScalar.cpp599 unsigned Width = CGF.getContext().getIntWidth(DstType); local
602 APSInt Min = APSInt::getMinValue(Width, Unsigned);
614 APSInt Max = APSInt::getMaxValue(Width, Unsigned);
/external/llvm/lib/CodeGen/SelectionDAG/
H A DLegalizeVectorTypes.cpp2633 // Width: Width left need to load/store.
2639 unsigned Width, EVT WidenVT,
2648 if (Width == WidenEltWidth)
2661 (MemVTWidth <= Width ||
2662 (Align!=0 && MemVTWidth<=AlignInBits && MemVTWidth<=Width+WidenEx))) {
2677 (MemVTWidth <= Width ||
2678 (Align!=0 && MemVTWidth<=AlignInBits && MemVTWidth<=Width+WidenEx))) {
2697 unsigned Width = VecTy.getSizeInBits(); local
2698 unsigned NumElts = Width / LdT
2638 FindMemType(SelectionDAG& DAG, const TargetLowering &TLI, unsigned Width, EVT WidenVT, unsigned Align = 0, unsigned WidenEx = 0) argument
[all...]
/external/mesa3d/src/mesa/drivers/common/
H A Dmeta.c212 GLsizei Width, Height; /**< Current texture size */ member in struct:temp_texture
312 GLint Width, Height; member in struct:decompress_state
725 _mesa_Ortho(0.0, ctx->DrawBuffer->Width,
753 save->ViewportW = ctx->Viewport.Width;
758 ctx->Viewport.Width != ctx->DrawBuffer->Width ||
761 ctx->DrawBuffer->Width, ctx->DrawBuffer->Height);
931 save->Scissor.Width, save->Scissor.Height);
1087 save->ViewportW != ctx->Viewport.Width ||
1255 if (width > tex->Width ||
[all...]
/external/llvm/bindings/ocaml/llvm/
H A Dllvm_ocaml.c274 CAMLprim LLVMTypeRef llvm_integer_type(LLVMContextRef Context, value Width) { argument
275 return LLVMIntTypeInContext(Context, Int_val(Width));
/external/llvm/lib/Transforms/Vectorize/
H A DLoopVectorize.cpp847 unsigned Width; // Vector width with best cost member in struct:__anon26368::LoopVectorizationCostModel::VectorizationFactor
932 : Width(VectorizationFactor),
959 Width = 1;
968 Vals.push_back(createHint(Context, Twine(Prefix(), "width").str(), Width));
991 if (Width != 0 && Unroll != 0)
992 R << " with width " << Width << " and interleave count " << Unroll;
993 else if (Width != 0)
994 R << " with width " << Width; local
1005 unsigned getWidth() const { return Width; }
1060 Width
1080 unsigned Width; member in class:__anon26368::LoopVectorizeHints
[all...]

Completed in 1142 milliseconds

12345