SparcInstrInfo.td revision 4fca01731a86dbbd758eaf94e4c7edfa36d38db7
1//===- SparcV8Instrs.td - Target Description for SparcV8 Target -----------===//
2// 
3//                     The LLVM Compiler Infrastructure
4//
5// This file was developed by the LLVM research group and is distributed under
6// the University of Illinois Open Source License. See LICENSE.TXT for details.
7// 
8//===----------------------------------------------------------------------===//
9//
10// This file describes the SparcV8 instructions in TableGen format.
11//
12//===----------------------------------------------------------------------===//
13
14//===----------------------------------------------------------------------===//
15// Instruction format superclass
16//===----------------------------------------------------------------------===//
17
18include "SparcV8InstrFormats.td"
19
20//===----------------------------------------------------------------------===//
21// Instruction Pattern Stuff
22//===----------------------------------------------------------------------===//
23
24def simm13  : PatLeaf<(imm), [{
25  // simm13 predicate - True if the imm fits in a 13-bit sign extended field.
26  return (((int)N->getValue() << (32-13)) >> (32-13)) == (int)N->getValue();
27}]>;
28
29def LO10 : SDNodeXForm<imm, [{
30  return CurDAG->getTargetConstant((unsigned)N->getValue() & 1023, MVT::i32);
31}]>;
32
33def HI22 : SDNodeXForm<imm, [{
34  // Transformation function: shift the immediate value down into the low bits.
35  return CurDAG->getTargetConstant((unsigned)N->getValue() >> 10, MVT::i32);
36}]>;
37
38def SETHIimm : PatLeaf<(imm), [{
39  return (((unsigned)N->getValue() >> 10) << 10) == (unsigned)N->getValue();
40}], HI22>;
41
42// Addressing modes.
43def ADDRrr : ComplexPattern<i32, 2, "SelectADDRrr", []>;
44def ADDRri : ComplexPattern<i32, 2, "SelectADDRri", []>;
45
46// Address operands
47def MEMrr : Operand<i32> {
48  let PrintMethod = "printMemOperand";
49  let NumMIOperands = 2;
50  let MIOperandInfo = (ops IntRegs, IntRegs);
51}
52def MEMri : Operand<i32> {
53  let PrintMethod = "printMemOperand";
54  let NumMIOperands = 2;
55  let MIOperandInfo = (ops IntRegs, i32imm);
56}
57
58// Branch targets have OtherVT type.
59def brtarget : Operand<OtherVT>;
60def calltarget : Operand<i32>;
61
62def SDTV8cmpfcc : 
63SDTypeProfile<1, 2, [SDTCisVT<0, FlagVT>, SDTCisFP<1>, SDTCisSameAs<1, 2>]>;
64def SDTV8brcc : 
65SDTypeProfile<0, 3, [SDTCisVT<0, OtherVT>, SDTCisVT<1, OtherVT>,
66                     SDTCisVT<2, FlagVT>]>;
67def SDTV8selectcc :
68SDTypeProfile<1, 4, [SDTCisSameAs<0, 1>, SDTCisSameAs<1, 2>, 
69                     SDTCisVT<3, i32>, SDTCisVT<4, FlagVT>]>;
70def SDTV8FTOI :
71SDTypeProfile<1, 1, [SDTCisVT<0, f32>, SDTCisFP<1>]>;
72def SDTV8ITOF :
73SDTypeProfile<1, 1, [SDTCisFP<0>, SDTCisVT<1, f32>]>;
74
75def V8cmpicc : SDNode<"V8ISD::CMPICC", SDTIntBinOp, [SDNPOutFlag]>;
76def V8cmpfcc : SDNode<"V8ISD::CMPFCC", SDTV8cmpfcc, [SDNPOutFlag]>;
77def V8bricc : SDNode<"V8ISD::BRICC", SDTV8brcc, [SDNPHasChain]>;
78def V8brfcc : SDNode<"V8ISD::BRFCC", SDTV8brcc, [SDNPHasChain]>;
79
80def V8hi    : SDNode<"V8ISD::Hi", SDTIntUnaryOp>;
81def V8lo    : SDNode<"V8ISD::Lo", SDTIntUnaryOp>;
82
83def V8ftoi  : SDNode<"V8ISD::FTOI", SDTV8FTOI>;
84def V8itof  : SDNode<"V8ISD::ITOF", SDTV8ITOF>;
85
86def V8selecticc : SDNode<"V8ISD::SELECT_ICC", SDTV8selectcc>;
87def V8selectfcc : SDNode<"V8ISD::SELECT_FCC", SDTV8selectcc>;
88
89// These are target-independent nodes, but have target-specific formats.
90def SDT_V8CallSeq : SDTypeProfile<0, 1, [ SDTCisVT<0, i32> ]>;
91def callseq_start : SDNode<"ISD::CALLSEQ_START", SDT_V8CallSeq, [SDNPHasChain]>;
92def callseq_end   : SDNode<"ISD::CALLSEQ_END",   SDT_V8CallSeq, [SDNPHasChain]>;
93
94def SDT_V8Call    : SDTypeProfile<0, 1, [SDTCisVT<0, i32>]>;
95def call          : SDNode<"ISD::CALL", SDT_V8Call,
96	                   [SDNPHasChain, SDNPOptInFlag, SDNPOutFlag]>;
97
98def SDT_V8RetFlag : SDTypeProfile<0, 0, []>;
99def retflag       : SDNode<"V8ISD::RET_FLAG", SDT_V8RetFlag,
100	                   [SDNPHasChain, SDNPOptInFlag]>;
101
102//===----------------------------------------------------------------------===//
103// Instructions
104//===----------------------------------------------------------------------===//
105
106// Pseudo instructions.
107class Pseudo<dag ops, string asmstr, list<dag> pattern>
108   : InstV8<ops, asmstr, pattern>;
109
110def PHI : Pseudo<(ops variable_ops), "PHI", []>;
111def ADJCALLSTACKDOWN : Pseudo<(ops i32imm:$amt),
112                               "!ADJCALLSTACKDOWN $amt",
113                               [(callseq_start imm:$amt)]>;
114def ADJCALLSTACKUP : Pseudo<(ops i32imm:$amt),
115                            "!ADJCALLSTACKUP $amt",
116                            [(callseq_end imm:$amt)]>;
117def IMPLICIT_DEF_Int : Pseudo<(ops IntRegs:$dst),
118                              "!IMPLICIT_DEF $dst",
119                              [(set IntRegs:$dst, (undef))]>;
120def IMPLICIT_DEF_FP  : Pseudo<(ops FPRegs:$dst), "!IMPLICIT_DEF $dst",
121                              [(set FPRegs:$dst, (undef))]>;
122def IMPLICIT_DEF_DFP : Pseudo<(ops DFPRegs:$dst), "!IMPLICIT_DEF $dst",
123                              [(set DFPRegs:$dst, (undef))]>;
124                              
125// FpMOVD/FpNEGD/FpABSD - These are lowered to single-precision ops by the 
126// fpmover pass.
127def FpMOVD : Pseudo<(ops DFPRegs:$dst, DFPRegs:$src),
128                    "!FpMOVD $src, $dst", []>;   // pseudo 64-bit double move
129def FpNEGD : Pseudo<(ops DFPRegs:$dst, DFPRegs:$src),
130                    "!FpNEGD $src, $dst",
131                    [(set DFPRegs:$dst, (fneg DFPRegs:$src))]>;
132def FpABSD : Pseudo<(ops DFPRegs:$dst, DFPRegs:$src),
133                    "!FpABSD $src, $dst",
134                    [(set DFPRegs:$dst, (fabs DFPRegs:$src))]>;
135
136// SELECT_CC_* - Used to implement the SELECT_CC DAG operation.  Expanded by the
137// scheduler into a branch sequence.  This has to handle all permutations of
138// selection between i32/f32/f64 on ICC and FCC.
139let usesCustomDAGSchedInserter = 1 in {  // Expanded by the scheduler.
140  def SELECT_CC_Int_ICC
141   : Pseudo<(ops IntRegs:$dst, IntRegs:$T, IntRegs:$F, i32imm:$Cond),
142            "; SELECT_CC_Int_ICC PSEUDO!",
143            [(set IntRegs:$dst, (V8selecticc IntRegs:$T, IntRegs:$F,
144                                             imm:$Cond, ICC))]>;
145  def SELECT_CC_Int_FCC
146   : Pseudo<(ops IntRegs:$dst, IntRegs:$T, IntRegs:$F, i32imm:$Cond),
147            "; SELECT_CC_Int_FCC PSEUDO!",
148            [(set IntRegs:$dst, (V8selectfcc IntRegs:$T, IntRegs:$F,
149                                             imm:$Cond, FCC))]>;
150  def SELECT_CC_FP_ICC
151   : Pseudo<(ops FPRegs:$dst, FPRegs:$T, FPRegs:$F, i32imm:$Cond),
152            "; SELECT_CC_FP_ICC PSEUDO!",
153            [(set FPRegs:$dst, (V8selecticc FPRegs:$T, FPRegs:$F,
154                                            imm:$Cond, ICC))]>;
155  def SELECT_CC_FP_FCC
156   : Pseudo<(ops FPRegs:$dst, FPRegs:$T, FPRegs:$F, i32imm:$Cond),
157            "; SELECT_CC_FP_FCC PSEUDO!",
158            [(set FPRegs:$dst, (V8selectfcc FPRegs:$T, FPRegs:$F,
159                                            imm:$Cond, FCC))]>;
160  def SELECT_CC_DFP_ICC
161   : Pseudo<(ops DFPRegs:$dst, DFPRegs:$T, DFPRegs:$F, i32imm:$Cond),
162            "; SELECT_CC_DFP_ICC PSEUDO!",
163            [(set DFPRegs:$dst, (V8selecticc DFPRegs:$T, DFPRegs:$F,
164                                             imm:$Cond, ICC))]>;
165  def SELECT_CC_DFP_FCC
166   : Pseudo<(ops DFPRegs:$dst, DFPRegs:$T, DFPRegs:$F, i32imm:$Cond),
167            "; SELECT_CC_DFP_FCC PSEUDO!",
168            [(set DFPRegs:$dst, (V8selectfcc DFPRegs:$T, DFPRegs:$F,
169                                             imm:$Cond, FCC))]>;
170}
171
172// Section A.3 - Synthetic Instructions, p. 85
173// special cases of JMPL:
174let isReturn = 1, isTerminator = 1, hasDelaySlot = 1, noResults = 1 in {
175  let rd = O7.Num, rs1 = G0.Num, simm13 = 8 in
176    def RETL: F3_2<2, 0b111000, (ops), "retl", [(retflag)]>;
177}
178
179// Section B.1 - Load Integer Instructions, p. 90
180def LDSBrr : F3_1<3, 0b001001,
181                  (ops IntRegs:$dst, MEMrr:$addr),
182                  "ldsb [$addr], $dst",
183                  [(set IntRegs:$dst, (sextload ADDRrr:$addr, i8))]>;
184def LDSBri : F3_2<3, 0b001001,
185                  (ops IntRegs:$dst, MEMri:$addr),
186                  "ldsb [$addr], $dst",
187                  [(set IntRegs:$dst, (sextload ADDRri:$addr, i8))]>;
188def LDSHrr : F3_1<3, 0b001010,
189                  (ops IntRegs:$dst, MEMrr:$addr),
190                  "ldsh [$addr], $dst",
191                  [(set IntRegs:$dst, (sextload ADDRrr:$addr, i16))]>;
192def LDSHri : F3_2<3, 0b001010,
193                  (ops IntRegs:$dst, MEMri:$addr),
194                  "ldsh [$addr], $dst",
195                  [(set IntRegs:$dst, (sextload ADDRri:$addr, i16))]>;
196def LDUBrr : F3_1<3, 0b000001,
197                  (ops IntRegs:$dst, MEMrr:$addr),
198                  "ldub [$addr], $dst",
199                  [(set IntRegs:$dst, (zextload ADDRrr:$addr, i8))]>;
200def LDUBri : F3_2<3, 0b000001,
201                  (ops IntRegs:$dst, MEMri:$addr),
202                  "ldub [$addr], $dst",
203                  [(set IntRegs:$dst, (zextload ADDRri:$addr, i8))]>;
204def LDUHrr : F3_1<3, 0b000010,
205                  (ops IntRegs:$dst, MEMrr:$addr),
206                  "lduh [$addr], $dst",
207                  [(set IntRegs:$dst, (zextload ADDRrr:$addr, i16))]>;
208def LDUHri : F3_2<3, 0b000010,
209                  (ops IntRegs:$dst, MEMri:$addr),
210                  "lduh [$addr], $dst",
211                  [(set IntRegs:$dst, (zextload ADDRri:$addr, i16))]>;
212def LDrr   : F3_1<3, 0b000000,
213                  (ops IntRegs:$dst, MEMrr:$addr),
214                  "ld [$addr], $dst",
215                  [(set IntRegs:$dst, (load ADDRrr:$addr))]>;
216def LDri   : F3_2<3, 0b000000,
217                  (ops IntRegs:$dst, MEMri:$addr),
218                  "ld [$addr], $dst",
219                  [(set IntRegs:$dst, (load ADDRri:$addr))]>;
220
221// Section B.2 - Load Floating-point Instructions, p. 92
222def LDFrr  : F3_1<3, 0b100000,
223                  (ops FPRegs:$dst, MEMrr:$addr),
224                  "ld [$addr], $dst",
225                  [(set FPRegs:$dst, (load ADDRrr:$addr))]>;
226def LDFri  : F3_2<3, 0b100000,
227                  (ops FPRegs:$dst, MEMri:$addr),
228                  "ld [$addr], $dst",
229                  [(set FPRegs:$dst, (load ADDRri:$addr))]>;
230def LDDFrr : F3_1<3, 0b100011,
231                  (ops DFPRegs:$dst, MEMrr:$addr),
232                  "ldd [$addr], $dst",
233                  [(set DFPRegs:$dst, (load ADDRrr:$addr))]>;
234def LDDFri : F3_2<3, 0b100011,
235                  (ops DFPRegs:$dst, MEMri:$addr),
236                  "ldd [$addr], $dst",
237                  [(set DFPRegs:$dst, (load ADDRri:$addr))]>;
238
239// Section B.4 - Store Integer Instructions, p. 95
240def STBrr : F3_1<3, 0b000101,
241                 (ops MEMrr:$addr, IntRegs:$src),
242                 "stb $src, [$addr]",
243                 [(truncstore IntRegs:$src, ADDRrr:$addr, i8)]>;
244def STBri : F3_2<3, 0b000101,
245                 (ops MEMri:$addr, IntRegs:$src),
246                 "stb $src, [$addr]",
247                 [(truncstore IntRegs:$src, ADDRri:$addr, i8)]>;
248def STHrr : F3_1<3, 0b000110,
249                 (ops MEMrr:$addr, IntRegs:$src),
250                 "sth $src, [$addr]",
251                 [(truncstore IntRegs:$src, ADDRrr:$addr, i16)]>;
252def STHri : F3_2<3, 0b000110,
253                 (ops MEMri:$addr, IntRegs:$src),
254                 "sth $src, [$addr]",
255                 [(truncstore IntRegs:$src, ADDRri:$addr, i16)]>;
256def STrr  : F3_1<3, 0b000100,
257                 (ops MEMrr:$addr, IntRegs:$src),
258                 "st $src, [$addr]",
259                 [(store IntRegs:$src, ADDRrr:$addr)]>;
260def STri  : F3_2<3, 0b000100,
261                 (ops MEMri:$addr, IntRegs:$src),
262                 "st $src, [$addr]",
263                 [(store IntRegs:$src, ADDRri:$addr)]>;
264
265// Section B.5 - Store Floating-point Instructions, p. 97
266def STFrr   : F3_1<3, 0b100100,
267                   (ops MEMrr:$addr, FPRegs:$src),
268                   "st $src, [$addr]",
269                   [(store FPRegs:$src, ADDRrr:$addr)]>;
270def STFri   : F3_2<3, 0b100100,
271                   (ops MEMri:$addr, FPRegs:$src),
272                   "st $src, [$addr]",
273                   [(store FPRegs:$src, ADDRri:$addr)]>;
274def STDFrr  : F3_1<3, 0b100111,
275                   (ops MEMrr:$addr, DFPRegs:$src),
276                   "std  $src, [$addr]",
277                   [(store DFPRegs:$src, ADDRrr:$addr)]>;
278def STDFri  : F3_2<3, 0b100111,
279                   (ops MEMri:$addr, DFPRegs:$src),
280                   "std $src, [$addr]",
281                   [(store DFPRegs:$src, ADDRri:$addr)]>;
282
283// Section B.9 - SETHI Instruction, p. 104
284def SETHIi: F2_1<0b100,
285                 (ops IntRegs:$dst, i32imm:$src),
286                 "sethi $src, $dst",
287                 [(set IntRegs:$dst, SETHIimm:$src)]>;
288
289// Section B.10 - NOP Instruction, p. 105
290// (It's a special case of SETHI)
291let rd = 0, imm22 = 0 in
292  def NOP : F2_1<0b100, (ops), "nop", []>;
293
294// Section B.11 - Logical Instructions, p. 106
295def ANDrr   : F3_1<2, 0b000001,
296                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
297                   "and $b, $c, $dst",
298                   [(set IntRegs:$dst, (and IntRegs:$b, IntRegs:$c))]>;
299def ANDri   : F3_2<2, 0b000001,
300                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
301                   "and $b, $c, $dst",
302                   [(set IntRegs:$dst, (and IntRegs:$b, simm13:$c))]>;
303def ANDNrr  : F3_1<2, 0b000101,
304                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
305                   "andn $b, $c, $dst",
306                   [(set IntRegs:$dst, (and IntRegs:$b, (not IntRegs:$c)))]>;
307def ANDNri  : F3_2<2, 0b000101,
308                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
309                   "andn $b, $c, $dst", []>;
310def ORrr    : F3_1<2, 0b000010,
311                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
312                   "or $b, $c, $dst",
313                   [(set IntRegs:$dst, (or IntRegs:$b, IntRegs:$c))]>;
314def ORri    : F3_2<2, 0b000010,
315                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
316                   "or $b, $c, $dst",
317                   [(set IntRegs:$dst, (or IntRegs:$b, simm13:$c))]>;
318def ORNrr   : F3_1<2, 0b000110,
319                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
320                   "orn $b, $c, $dst",
321                   [(set IntRegs:$dst, (or IntRegs:$b, (not IntRegs:$c)))]>;
322def ORNri   : F3_2<2, 0b000110,
323                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
324                   "orn $b, $c, $dst", []>;
325def XORrr   : F3_1<2, 0b000011,
326                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
327                   "xor $b, $c, $dst",
328                   [(set IntRegs:$dst, (xor IntRegs:$b, IntRegs:$c))]>;
329def XORri   : F3_2<2, 0b000011,
330                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
331                   "xor $b, $c, $dst",
332                   [(set IntRegs:$dst, (xor IntRegs:$b, simm13:$c))]>;
333def XNORrr  : F3_1<2, 0b000111,
334                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
335                   "xnor $b, $c, $dst",
336                   [(set IntRegs:$dst, (not (xor IntRegs:$b, IntRegs:$c)))]>;
337def XNORri  : F3_2<2, 0b000111,
338                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
339                   "xnor $b, $c, $dst", []>;
340
341// Section B.12 - Shift Instructions, p. 107
342def SLLrr : F3_1<2, 0b100101,
343                 (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
344                 "sll $b, $c, $dst",
345                 [(set IntRegs:$dst, (shl IntRegs:$b, IntRegs:$c))]>;
346def SLLri : F3_2<2, 0b100101,
347                 (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
348                 "sll $b, $c, $dst",
349                 [(set IntRegs:$dst, (shl IntRegs:$b, simm13:$c))]>;
350def SRLrr : F3_1<2, 0b100110, 
351                 (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
352                  "srl $b, $c, $dst",
353                  [(set IntRegs:$dst, (srl IntRegs:$b, IntRegs:$c))]>;
354def SRLri : F3_2<2, 0b100110,
355                 (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
356                 "srl $b, $c, $dst", 
357                 [(set IntRegs:$dst, (srl IntRegs:$b, simm13:$c))]>;
358def SRArr : F3_1<2, 0b100111, 
359                 (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
360                  "sra $b, $c, $dst",
361                  [(set IntRegs:$dst, (sra IntRegs:$b, IntRegs:$c))]>;
362def SRAri : F3_2<2, 0b100111,
363                 (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
364                 "sra $b, $c, $dst",
365                 [(set IntRegs:$dst, (sra IntRegs:$b, simm13:$c))]>;
366
367// Section B.13 - Add Instructions, p. 108
368def ADDrr   : F3_1<2, 0b000000, 
369                  (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
370                  "add $b, $c, $dst",
371                   [(set IntRegs:$dst, (add IntRegs:$b, IntRegs:$c))]>;
372def ADDri   : F3_2<2, 0b000000,
373                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
374                   "add $b, $c, $dst",
375                   [(set IntRegs:$dst, (add IntRegs:$b, simm13:$c))]>;
376def ADDCCrr : F3_1<2, 0b010000, 
377                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
378                   "addcc $b, $c, $dst", []>;
379def ADDCCri : F3_2<2, 0b010000,
380                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
381                   "addcc $b, $c, $dst", []>;
382def ADDXrr  : F3_1<2, 0b001000, 
383                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
384                   "addx $b, $c, $dst", []>;
385def ADDXri  : F3_2<2, 0b001000,
386                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
387                   "addx $b, $c, $dst", []>;
388
389// Section B.15 - Subtract Instructions, p. 110
390def SUBrr   : F3_1<2, 0b000100, 
391                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
392                   "sub $b, $c, $dst",
393                   [(set IntRegs:$dst, (sub IntRegs:$b, IntRegs:$c))]>;
394def SUBri   : F3_2<2, 0b000100,
395                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
396                   "sub $b, $c, $dst",
397                   [(set IntRegs:$dst, (sub IntRegs:$b, simm13:$c))]>;
398def SUBXrr  : F3_1<2, 0b001100, 
399                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
400                   "subx $b, $c, $dst", []>;
401def SUBXri  : F3_2<2, 0b001100,
402                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
403                   "subx $b, $c, $dst", []>;
404def SUBCCrr : F3_1<2, 0b010100, 
405                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
406                   "subcc $b, $c, $dst",
407                   [(set IntRegs:$dst, (V8cmpicc IntRegs:$b, IntRegs:$c))]>;
408def SUBCCri : F3_2<2, 0b010100,
409                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
410                   "subcc $b, $c, $dst",
411                   [(set IntRegs:$dst, (V8cmpicc IntRegs:$b, simm13:$c))]>;
412def SUBXCCrr: F3_1<2, 0b011100, 
413                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
414                   "subxcc $b, $c, $dst", []>;
415
416// Section B.18 - Multiply Instructions, p. 113
417def UMULrr  : F3_1<2, 0b001010, 
418                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
419                   "umul $b, $c, $dst", []>;
420def UMULri  : F3_2<2, 0b001010,
421                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
422                   "umul $b, $c, $dst", []>;
423def SMULrr  : F3_1<2, 0b001011, 
424                   (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
425                   "smul $b, $c, $dst",
426                   [(set IntRegs:$dst, (mul IntRegs:$b, IntRegs:$c))]>;
427def SMULri  : F3_2<2, 0b001011,
428                   (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
429                   "smul $b, $c, $dst",
430                   [(set IntRegs:$dst, (mul IntRegs:$b, simm13:$c))]>;
431
432// Section B.19 - Divide Instructions, p. 115
433def UDIVrr   : F3_1<2, 0b001110, 
434                    (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
435                    "udiv $b, $c, $dst", []>;
436def UDIVri   : F3_2<2, 0b001110,
437                    (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
438                    "udiv $b, $c, $dst", []>;
439def SDIVrr   : F3_1<2, 0b001111,
440                    (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
441                    "sdiv $b, $c, $dst", []>;
442def SDIVri   : F3_2<2, 0b001111,
443                    (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
444                    "sdiv $b, $c, $dst", []>;
445
446// Section B.20 - SAVE and RESTORE, p. 117
447def SAVErr    : F3_1<2, 0b111100,
448                     (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
449                     "save $b, $c, $dst", []>;
450def SAVEri    : F3_2<2, 0b111100,
451                     (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
452                     "save $b, $c, $dst", []>;
453def RESTORErr : F3_1<2, 0b111101,
454                     (ops IntRegs:$dst, IntRegs:$b, IntRegs:$c),
455                     "restore $b, $c, $dst", []>;
456def RESTOREri : F3_2<2, 0b111101,
457                     (ops IntRegs:$dst, IntRegs:$b, i32imm:$c),
458                     "restore $b, $c, $dst", []>;
459
460// Section B.21 - Branch on Integer Condition Codes Instructions, p. 119
461
462// conditional branch class:
463class BranchV8<bits<4> cc, dag ops, string asmstr, list<dag> pattern>
464 : F2_2<cc, 0b010, ops, asmstr, pattern> {
465  let isBranch = 1;
466  let isTerminator = 1;
467  let hasDelaySlot = 1;
468  let noResults = 1;
469}
470
471let isBarrier = 1 in
472  def BA   : BranchV8<0b1000, (ops brtarget:$dst),
473                      "ba $dst",
474                      [(br bb:$dst)]>;
475def BNE  : BranchV8<0b1001, (ops brtarget:$dst),
476                    "bne $dst",
477                    [(V8bricc bb:$dst, SETNE, ICC)]>;
478def BE   : BranchV8<0b0001, (ops brtarget:$dst),
479                    "be $dst",
480                    [(V8bricc bb:$dst, SETEQ, ICC)]>;
481def BG   : BranchV8<0b1010, (ops brtarget:$dst),
482                    "bg $dst",
483                    [(V8bricc bb:$dst, SETGT, ICC)]>;
484def BLE  : BranchV8<0b0010, (ops brtarget:$dst),
485                    "ble $dst",
486                    [(V8bricc bb:$dst, SETLE, ICC)]>;
487def BGE  : BranchV8<0b1011, (ops brtarget:$dst),
488                    "bge $dst",
489                    [(V8bricc bb:$dst, SETGE, ICC)]>;
490def BL   : BranchV8<0b0011, (ops brtarget:$dst),
491                    "bl $dst",
492                    [(V8bricc bb:$dst, SETLT, ICC)]>;
493def BGU  : BranchV8<0b1100, (ops brtarget:$dst),
494                    "bgu $dst",
495                    [(V8bricc bb:$dst, SETUGT, ICC)]>;
496def BLEU : BranchV8<0b0100, (ops brtarget:$dst),
497                    "bleu $dst",
498                    [(V8bricc bb:$dst, SETULE, ICC)]>;
499def BCC  : BranchV8<0b1101, (ops brtarget:$dst),
500                    "bcc $dst",
501                    [(V8bricc bb:$dst, SETUGE, ICC)]>;
502def BCS  : BranchV8<0b0101, (ops brtarget:$dst),
503                    "bcs $dst",
504                    [(V8bricc bb:$dst, SETULT, ICC)]>;
505
506// Section B.22 - Branch on Floating-point Condition Codes Instructions, p. 121
507
508// floating-point conditional branch class:
509class FPBranchV8<bits<4> cc, dag ops, string asmstr, list<dag> pattern>
510 : F2_2<cc, 0b110, ops, asmstr, pattern> {
511  let isBranch = 1;
512  let isTerminator = 1;
513  let hasDelaySlot = 1;
514  let noResults = 1;
515}
516
517def FBU  : FPBranchV8<0b0111, (ops brtarget:$dst),
518                      "fbu $dst",
519                      [(V8brfcc bb:$dst, SETUO, FCC)]>;
520def FBG  : FPBranchV8<0b0110, (ops brtarget:$dst),
521                      "fbg $dst",
522                      [(V8brfcc bb:$dst, SETGT, FCC)]>;
523def FBUG : FPBranchV8<0b0101, (ops brtarget:$dst),
524                      "fbug $dst",
525                      [(V8brfcc bb:$dst, SETUGT, FCC)]>;
526def FBL  : FPBranchV8<0b0100, (ops brtarget:$dst),
527                      "fbl $dst",
528                      [(V8brfcc bb:$dst, SETLT, FCC)]>;
529def FBUL : FPBranchV8<0b0011, (ops brtarget:$dst),
530                      "fbul $dst",
531                      [(V8brfcc bb:$dst, SETULT, FCC)]>;
532def FBLG : FPBranchV8<0b0010, (ops brtarget:$dst),
533                      "fblg $dst",
534                      [(V8brfcc bb:$dst, SETONE, FCC)]>;
535def FBNE : FPBranchV8<0b0001, (ops brtarget:$dst),
536                      "fbne $dst",
537                      [(V8brfcc bb:$dst, SETNE, FCC)]>;
538def FBE  : FPBranchV8<0b1001, (ops brtarget:$dst),
539                      "fbe $dst",
540                      [(V8brfcc bb:$dst, SETEQ, FCC)]>;
541def FBUE : FPBranchV8<0b1010, (ops brtarget:$dst),
542                      "fbue $dst",
543                      [(V8brfcc bb:$dst, SETUEQ, FCC)]>;
544def FBGE : FPBranchV8<0b1011, (ops brtarget:$dst),
545                      "fbge $dst",
546                      [(V8brfcc bb:$dst, SETGE, FCC)]>;
547def FBUGE: FPBranchV8<0b1100, (ops brtarget:$dst),
548                      "fbuge $dst",
549                      [(V8brfcc bb:$dst, SETUGE, FCC)]>;
550def FBLE : FPBranchV8<0b1101, (ops brtarget:$dst),
551                      "fble $dst",
552                      [(V8brfcc bb:$dst, SETLE, FCC)]>;
553def FBULE: FPBranchV8<0b1110, (ops brtarget:$dst),
554                      "fbule $dst",
555                      [(V8brfcc bb:$dst, SETULE, FCC)]>;
556def FBO  : FPBranchV8<0b1111, (ops brtarget:$dst),
557                      "fbo $dst",
558                      [(V8brfcc bb:$dst, SETO, FCC)]>;
559
560
561
562// Section B.24 - Call and Link Instruction, p. 125
563// This is the only Format 1 instruction
564let Uses = [O0, O1, O2, O3, O4, O5],
565    hasDelaySlot = 1, isCall = 1, noResults = 1,
566    Defs = [O0, O1, O2, O3, O4, O5, O7, G1, G2, G3, G4, G5, G6, G7,
567    D0, D1, D2, D3, D4, D5, D6, D7, D8, D9, D10, D11, D12, D13, D14, D15] in { 
568  def CALL : InstV8<(ops calltarget:$dst),
569                    "call $dst", []> {
570    bits<30> disp;
571    let op = 1;
572    let Inst{29-0} = disp;
573  }
574  
575  // indirect calls
576  def JMPLrr : F3_1<2, 0b111000,
577                    (ops MEMrr:$ptr),
578                    "call $ptr",
579                    [(call  ADDRrr:$ptr)]>;
580  def JMPLri : F3_2<2, 0b111000,
581                    (ops MEMri:$ptr),
582                    "call $ptr",
583                    [(call  ADDRri:$ptr)]>;
584}
585
586// Section B.28 - Read State Register Instructions
587def RDY : F3_1<2, 0b101000,
588               (ops IntRegs:$dst),
589               "rd %y, $dst", []>;
590
591// Section B.29 - Write State Register Instructions
592def WRYrr : F3_1<2, 0b110000,
593                 (ops IntRegs:$b, IntRegs:$c),
594                 "wr $b, $c, %y", []>;
595def WRYri : F3_2<2, 0b110000,
596                 (ops IntRegs:$b, i32imm:$c),
597                 "wr $b, $c, %y", []>;
598
599// Convert Integer to Floating-point Instructions, p. 141
600def FITOS : F3_3<2, 0b110100, 0b011000100,
601                 (ops FPRegs:$dst, FPRegs:$src),
602                 "fitos $src, $dst",
603                 [(set FPRegs:$dst, (V8itof FPRegs:$src))]>;
604def FITOD : F3_3<2, 0b110100, 0b011001000, 
605                 (ops DFPRegs:$dst, FPRegs:$src),
606                 "fitod $src, $dst",
607                 [(set DFPRegs:$dst, (V8itof FPRegs:$src))]>;
608
609// Convert Floating-point to Integer Instructions, p. 142
610def FSTOI : F3_3<2, 0b110100, 0b011010001,
611                 (ops FPRegs:$dst, FPRegs:$src),
612                 "fstoi $src, $dst",
613                 [(set FPRegs:$dst, (V8ftoi FPRegs:$src))]>;
614def FDTOI : F3_3<2, 0b110100, 0b011010010,
615                 (ops FPRegs:$dst, DFPRegs:$src),
616                 "fdtoi $src, $dst",
617                 [(set FPRegs:$dst, (V8ftoi DFPRegs:$src))]>;
618
619// Convert between Floating-point Formats Instructions, p. 143
620def FSTOD : F3_3<2, 0b110100, 0b011001001, 
621                 (ops DFPRegs:$dst, FPRegs:$src),
622                 "fstod $src, $dst",
623                 [(set DFPRegs:$dst, (fextend FPRegs:$src))]>;
624def FDTOS : F3_3<2, 0b110100, 0b011000110,
625                 (ops FPRegs:$dst, DFPRegs:$src),
626                 "fdtos $src, $dst",
627                 [(set FPRegs:$dst, (fround DFPRegs:$src))]>;
628
629// Floating-point Move Instructions, p. 144
630def FMOVS : F3_3<2, 0b110100, 0b000000001,
631                 (ops FPRegs:$dst, FPRegs:$src),
632                 "fmovs $src, $dst", []>;
633def FNEGS : F3_3<2, 0b110100, 0b000000101, 
634                 (ops FPRegs:$dst, FPRegs:$src),
635                 "fnegs $src, $dst",
636                 [(set FPRegs:$dst, (fneg FPRegs:$src))]>;
637def FABSS : F3_3<2, 0b110100, 0b000001001, 
638                 (ops FPRegs:$dst, FPRegs:$src),
639                 "fabss $src, $dst",
640                 [(set FPRegs:$dst, (fabs FPRegs:$src))]>;
641
642
643// Floating-point Square Root Instructions, p.145
644def FSQRTS : F3_3<2, 0b110100, 0b000101001, 
645                  (ops FPRegs:$dst, FPRegs:$src),
646                  "fsqrts $src, $dst",
647                  [(set FPRegs:$dst, (fsqrt FPRegs:$src))]>;
648def FSQRTD : F3_3<2, 0b110100, 0b000101010, 
649                  (ops DFPRegs:$dst, DFPRegs:$src),
650                  "fsqrtd $src, $dst",
651                  [(set DFPRegs:$dst, (fsqrt DFPRegs:$src))]>;
652
653
654
655// Floating-point Add and Subtract Instructions, p. 146
656def FADDS  : F3_3<2, 0b110100, 0b001000001,
657                  (ops FPRegs:$dst, FPRegs:$src1, FPRegs:$src2),
658                  "fadds $src1, $src2, $dst",
659                  [(set FPRegs:$dst, (fadd FPRegs:$src1, FPRegs:$src2))]>;
660def FADDD  : F3_3<2, 0b110100, 0b001000010,
661                  (ops DFPRegs:$dst, DFPRegs:$src1, DFPRegs:$src2),
662                  "faddd $src1, $src2, $dst",
663                  [(set DFPRegs:$dst, (fadd DFPRegs:$src1, DFPRegs:$src2))]>;
664def FSUBS  : F3_3<2, 0b110100, 0b001000101,
665                  (ops FPRegs:$dst, FPRegs:$src1, FPRegs:$src2),
666                  "fsubs $src1, $src2, $dst",
667                  [(set FPRegs:$dst, (fsub FPRegs:$src1, FPRegs:$src2))]>;
668def FSUBD  : F3_3<2, 0b110100, 0b001000110,
669                  (ops DFPRegs:$dst, DFPRegs:$src1, DFPRegs:$src2),
670                  "fsubd $src1, $src2, $dst",
671                  [(set DFPRegs:$dst, (fsub DFPRegs:$src1, DFPRegs:$src2))]>;
672
673// Floating-point Multiply and Divide Instructions, p. 147
674def FMULS  : F3_3<2, 0b110100, 0b001001001,
675                  (ops FPRegs:$dst, FPRegs:$src1, FPRegs:$src2),
676                  "fmuls $src1, $src2, $dst",
677                  [(set FPRegs:$dst, (fmul FPRegs:$src1, FPRegs:$src2))]>;
678def FMULD  : F3_3<2, 0b110100, 0b001001010,
679                  (ops DFPRegs:$dst, DFPRegs:$src1, DFPRegs:$src2),
680                  "fmuld $src1, $src2, $dst",
681                  [(set DFPRegs:$dst, (fmul DFPRegs:$src1, DFPRegs:$src2))]>;
682def FSMULD : F3_3<2, 0b110100, 0b001101001,
683                  (ops DFPRegs:$dst, FPRegs:$src1, FPRegs:$src2),
684                  "fsmuld $src1, $src2, $dst",
685                  [(set DFPRegs:$dst, (fmul (fextend FPRegs:$src1),
686                                            (fextend FPRegs:$src2)))]>;
687def FDIVS  : F3_3<2, 0b110100, 0b001001101,
688                 (ops FPRegs:$dst, FPRegs:$src1, FPRegs:$src2),
689                 "fdivs $src1, $src2, $dst",
690                 [(set FPRegs:$dst, (fdiv FPRegs:$src1, FPRegs:$src2))]>;
691def FDIVD  : F3_3<2, 0b110100, 0b001001110,
692                 (ops DFPRegs:$dst, DFPRegs:$src1, DFPRegs:$src2),
693                 "fdivd $src1, $src2, $dst",
694                 [(set DFPRegs:$dst, (fdiv DFPRegs:$src1, DFPRegs:$src2))]>;
695
696// Floating-point Compare Instructions, p. 148
697// Note: the 2nd template arg is different for these guys.
698// Note 2: the result of a FCMP is not available until the 2nd cycle
699// after the instr is retired, but there is no interlock. This behavior
700// is modelled with a forced noop after the instruction.
701def FCMPS  : F3_3<2, 0b110101, 0b001010001,
702                  (ops FPRegs:$src1, FPRegs:$src2),
703                  "fcmps $src1, $src2\n\tnop",
704                  [(set FCC, (V8cmpfcc FPRegs:$src1, FPRegs:$src2))]>;
705def FCMPD  : F3_3<2, 0b110101, 0b001010010,
706                  (ops DFPRegs:$src1, DFPRegs:$src2),
707                  "fcmpd $src1, $src2\n\tnop",
708                  [(set FCC, (V8cmpfcc DFPRegs:$src1, DFPRegs:$src2))]>;
709
710//===----------------------------------------------------------------------===//
711// Non-Instruction Patterns
712//===----------------------------------------------------------------------===//
713
714// Small immediates.
715def : Pat<(i32 simm13:$val),
716          (ORri G0, imm:$val)>;
717// Arbitrary immediates.
718def : Pat<(i32 imm:$val),
719          (ORri (SETHIi (HI22 imm:$val)), (LO10 imm:$val))>;
720
721// Global addresses, constant pool entries
722def : Pat<(V8hi tglobaladdr:$in), (SETHIi tglobaladdr:$in)>;
723def : Pat<(V8lo tglobaladdr:$in), (ORri G0, tglobaladdr:$in)>;
724def : Pat<(V8hi tconstpool:$in), (SETHIi tconstpool:$in)>;
725def : Pat<(V8lo tconstpool:$in), (ORri G0, tconstpool:$in)>;
726
727// Add reg, lo.  This is used when taking the addr of a global/constpool entry.
728def : Pat<(add IntRegs:$r, (V8lo tglobaladdr:$in)),
729          (ADDri IntRegs:$r, tglobaladdr:$in)>;
730def : Pat<(add IntRegs:$r, (V8lo tconstpool:$in)),
731          (ADDri IntRegs:$r, tconstpool:$in)>;
732
733
734// Calls: 
735def : Pat<(call tglobaladdr:$dst),
736          (CALL tglobaladdr:$dst)>;
737def : Pat<(call externalsym:$dst),
738          (CALL externalsym:$dst)>;
739
740def : Pat<(ret), (RETL)>;
741
742// Map integer extload's to zextloads.
743def : Pat<(i32 (extload ADDRrr:$src, i1)), (LDUBrr ADDRrr:$src)>;
744def : Pat<(i32 (extload ADDRri:$src, i1)), (LDUBri ADDRri:$src)>;
745def : Pat<(i32 (extload ADDRrr:$src, i8)), (LDUBrr ADDRrr:$src)>;
746def : Pat<(i32 (extload ADDRri:$src, i8)), (LDUBri ADDRri:$src)>;
747def : Pat<(i32 (extload ADDRrr:$src, i16)), (LDUHrr ADDRrr:$src)>;
748def : Pat<(i32 (extload ADDRri:$src, i16)), (LDUHri ADDRri:$src)>;
749
750// zextload bool -> zextload byte
751def : Pat<(i32 (zextload ADDRrr:$src, i1)), (LDUBrr ADDRrr:$src)>;
752def : Pat<(i32 (zextload ADDRri:$src, i1)), (LDUBri ADDRri:$src)>;
753
754// truncstore bool -> truncstore byte.
755def : Pat<(truncstore IntRegs:$src, ADDRrr:$addr, i1), 
756          (STBrr ADDRrr:$addr, IntRegs:$src)>;
757def : Pat<(truncstore IntRegs:$src, ADDRri:$addr, i1), 
758          (STBri ADDRri:$addr, IntRegs:$src)>;
759