Lines Matching defs:ieee

95    } ieee;
121 if ((u.ieee.mantissa1 & 1) == 0 && u.ieee.exponent != 0x7ff)
122 u.ieee.mantissa1 |= j;
144 if (UNLIKELY (u.ieee.exponent + v.ieee.exponent
146 || UNLIKELY (u.ieee.exponent >= 0x7ff - DBL_MANT_DIG)
147 || UNLIKELY (v.ieee.exponent >= 0x7ff - DBL_MANT_DIG)
148 || UNLIKELY (w.ieee.exponent >= 0x7ff - DBL_MANT_DIG)
149 || UNLIKELY (u.ieee.exponent + v.ieee.exponent
153 if (w.ieee.exponent == 0x7ff
154 && u.ieee.exponent != 0x7ff
155 && v.ieee.exponent != 0x7ff) {
162 if (u.ieee.exponent == 0x7ff
163 || v.ieee.exponent == 0x7ff
164 || w.ieee.exponent == 0x7ff
165 || u.ieee.exponent + v.ieee.exponent > 0x7ff + IEEE754_DOUBLE_BIAS
166 || u.ieee.exponent + v.ieee.exponent
171 if (u.ieee.exponent + v.ieee.exponent
175 if (u.ieee.exponent > v.ieee.exponent)
176 u.ieee.exponent -= DBL_MANT_DIG;
178 v.ieee.exponent -= DBL_MANT_DIG;
181 if (w.ieee.exponent > DBL_MANT_DIG)
182 w.ieee.exponent -= DBL_MANT_DIG;
184 } else if (w.ieee.exponent >= 0x7ff - DBL_MANT_DIG) {
188 if (u.ieee.exponent > v.ieee.exponent) {
189 if (u.ieee.exponent > DBL_MANT_DIG)
190 u.ieee.exponent -= DBL_MANT_DIG;
191 } else if (v.ieee.exponent > DBL_MANT_DIG)
192 v.ieee.exponent -= DBL_MANT_DIG;
193 w.ieee.exponent -= DBL_MANT_DIG;
195 } else if (u.ieee.exponent >= 0x7ff - DBL_MANT_DIG) {
196 u.ieee.exponent -= DBL_MANT_DIG;
197 if (v.ieee.exponent)
198 v.ieee.exponent += DBL_MANT_DIG;
201 } else if (v.ieee.exponent >= 0x7ff - DBL_MANT_DIG) {
202 v.ieee.exponent -= DBL_MANT_DIG;
203 if (u.ieee.exponent)
204 u.ieee.exponent += DBL_MANT_DIG;
207 } else /* if (u.ieee.exponent + v.ieee.exponent
209 if (u.ieee.exponent > v.ieee.exponent)
210 u.ieee.exponent += 2 * DBL_MANT_DIG;
212 v.ieee.exponent += 2 * DBL_MANT_DIG;
213 if (w.ieee.exponent <= 4 * DBL_MANT_DIG + 4) {
214 if (w.ieee.exponent)
215 w.ieee.exponent += 2 * DBL_MANT_DIG;
254 if ((u.ieee.mantissa1 & 1) == 0)
255 u.ieee.mantissa1 |= TESTINEXACT ();
265 if ((u.ieee.mantissa1 & 1) == 0 && u.ieee.exponent != 0x7ff)
266 u.ieee.mantissa1 |= j;
270 if ((u.ieee.mantissa1 & 1) == 0 && u.ieee.exponent != 0x7ff)
271 u.ieee.mantissa1 |= j;
283 if (v.ieee.exponent > 106) {
289 down just by 1 bit, which means v.ieee.mantissa1 |= j would
294 if (v.ieee.exponent == 106) {
295 /* v.ieee.mantissa1 & 2 is LSB bit of the result before rounding,
296 v.ieee.mantissa1 & 1 is the round bit and j is our sticky
301 if ((v.ieee.mantissa1 & 3) == 1) {
303 if (v.ieee.negative)
311 v.ieee.mantissa1 |= j;