Searched defs:Wait (Results 1 - 8 of 8) sorted by relevance

/art/test/132-daemon-locks-shutdown/src/
H A DMain.java28 Thread t = new Thread(new Wait(sync));
34 private static class Wait implements Runnable { class in class:Main
37 public Wait(Object obj) { method in class:Main.Wait
/art/runtime/
H A Dsignal_set.h46 int Wait() { function in class:art::SignalSet
H A Dbarrier.cc35 void Barrier::Wait(Thread* self) { function in class:art::Barrier
56 condition_.Wait(self);
H A Dthread_pool.cc51 thread_pool_->creation_barier_.Wait(self);
95 // Wait for all of the threads to attach.
96 creation_barier_.Wait(self);
115 // Wait for the threads to finish.
152 task_queue_condition_.Wait(self);
178 void ThreadPool::Wait(Thread* self, bool do_work, bool may_hold_locks) { function in class:art::ThreadPool
186 // Wait until each thread is waiting and the task list is empty.
190 completion_condition_.Wait(self);
H A Dmonitor.cc261 MutexLock mu2(self, monitor_lock_); // Reacquire monitor_lock_ without mutator_lock_ for Wait.
263 monitor_contenders_.Wait(self); // Still contended so wait.
408 * Wait on a monitor until timeout, interrupt, or notification. Used for
430 void Monitor::Wait(Thread* self, int64_t ms, int32_t ns, function in class:art::Monitor
505 // Wait for a notification or a timeout to occur.
507 self->GetWaitConditionVariable()->Wait(self);
833 void Monitor::Wait(Thread* self, mirror::Object *obj, int64_t ms, int32_t ns, function in class:art::Monitor
867 mon->Wait(self, ms, ns, interruptShouldThrow, why);
/art/runtime/mirror/
H A Dobject-inl.h109 inline void Object::Wait(Thread* self) { function in class:art::mirror::Object
110 Monitor::Wait(self, this, 0, 0, true, kWaiting);
113 inline void Object::Wait(Thread* self, int64_t ms, int32_t ns) { function in class:art::mirror::Object
114 Monitor::Wait(self, this, ms, ns, true, kTimedWaiting);
/art/runtime/base/
H A Dmutex.cc756 void ConditionVariable::Wait(Thread* self) { function in class:art::ConditionVariable
/art/dex2oat/
H A Ddex2oat.cc668 self->Wait();
688 void Wait() { function in class:art::WatchDog

Completed in 2447 milliseconds