Searched refs:file_out (Results 1 - 1 of 1) sorted by relevance

/frameworks/av/services/audioflinger/
H A Dtest-resample.cpp195 const char* file_out = NULL; local
197 file_out = argv[0];
200 file_out = argv[1];
500 SNDFILE *sf = sf_open(file_out, SFM_WRITE, &info);
502 perror(file_out);

Completed in 67 milliseconds