1655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/****************************************************************************
2655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ****************************************************************************
3655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***
4655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   This header was automatically generated from a Linux kernel header
5655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   of the same name, to make information necessary for userspace to
6655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   call into the kernel available to libc.  It contains only constants,
7655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   structures, and macros generated from the original header, and thus,
8655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   contains no copyrightable information.
9655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***
10655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   To edit the content of this header, modify the corresponding
11655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   source file (e.g. under external/kernel-headers/original/) then
12655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   run bionic/libc/kernel/tools/update_all.py
13655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***
14655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   Any manual change here will be lost the next time this script will
15655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***   be run. You've been warned!
16655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ***
17655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ****************************************************************************
18655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng ****************************************************************************/
19655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#ifndef _UAPI_LINUX_CYCLADES_H
20655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define _UAPI_LINUX_CYCLADES_H
21655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#include <linux/types.h>
22655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct cyclades_monitor {
23655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
24655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long int_count;
25655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long char_count;
26655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long char_max;
27655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long char_last;
28655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
29655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
30655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct cyclades_idle_stats {
31655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __kernel_time_t in_use;
32655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __kernel_time_t recv_idle;
33655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
34655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __kernel_time_t xmit_idle;
35655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long recv_bytes;
36655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long xmit_bytes;
37655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long overruns;
38655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
39655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long frame_errs;
40655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long parity_errs;
41655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
42655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYCLADES_MAGIC 0x4359
43655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
44655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETMON 0x435901
45655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETTHRESH 0x435902
46655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETTHRESH 0x435903
47655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETDEFTHRESH 0x435904
48655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
49655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETDEFTHRESH 0x435905
50655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETTIMEOUT 0x435906
51655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETTIMEOUT 0x435907
52655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETDEFTIMEOUT 0x435908
53655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
54655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETDEFTIMEOUT 0x435909
55655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETRFLOW 0x43590a
56655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETRFLOW 0x43590b
57655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETRTSDTR_INV 0x43590c
58655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
59655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETRTSDTR_INV 0x43590d
60655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYZSETPOLLCYCLE 0x43590e
61655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYZGETPOLLCYCLE 0x43590f
62655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETCD1400VER 0x435910
63655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
64655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYSETWAIT 0x435912
65655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYGETWAIT 0x435913
66655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZIOC ('M' << 8)
67655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_NBOARDS (CZIOC|0xfa)
68655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
69655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_BOOT_START (CZIOC|0xfb)
70655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_BOOT_DATA (CZIOC|0xfc)
71655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_BOOT_END (CZIOC|0xfd)
72655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_TEST (CZIOC|0xfe)
73655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
74655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CZ_DEF_POLL (HZ/25)
75655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define MAX_BOARD 4
76655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define MAX_DEV 256
77655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYZ_MAX_SPEED 921600
78655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
79655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYZ_FIFO_SIZE 16
80655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CYZ_BOOT_NWORDS 0x100
81655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct CYZ_BOOT_CTRL {
82655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned short nboard;
83655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
84655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng int status[MAX_BOARD];
85655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng int nchannel[MAX_BOARD];
86655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng int fw_rev[MAX_BOARD];
87655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long offset;
88655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
89655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long data[CYZ_BOOT_NWORDS];
90655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
91655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#ifndef DP_WINDOW_SIZE
92655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define DP_WINDOW_SIZE (0x00080000)
93655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
94655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZE_DP_WINDOW_SIZE (0x00100000)
95655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define CTRL_WINDOW_SIZE (0x00000080)
96655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct CUSTOM_REG {
97655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 fpga_id;
98655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
99655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 fpga_version;
100655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 cpu_start;
101655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 cpu_stop;
102655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 misc_reg;
103655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
104655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 idt_mode;
105655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 uart_irq_status;
106655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 clear_timer0_irq;
107655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 clear_timer1_irq;
108655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
109655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 clear_timer2_irq;
110655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 test_register;
111655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 test_count;
112655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 timer_select;
113655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
114655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 pr_uart_irq_status;
115655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 ram_wait_state;
116655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 uart_wait_state;
117655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 timer_wait_state;
118655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
119655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 ack_wait_state;
120655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
121655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct RUNTIME_9060 {
122655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_addr_range;
123655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
124655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_addr_base;
125655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_arbitr;
126655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 endian_descr;
127655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_rom_range;
128655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
129655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_rom_base;
130655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_bus_descr;
131655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_range_mst;
132655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_base_mst;
133655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
134655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_range_io;
135655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 pci_base_mst;
136655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 pci_conf_io;
137655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler1;
138655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
139655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler2;
140655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler3;
141655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler4;
142655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 mail_box_0;
143655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
144655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 mail_box_1;
145655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 mail_box_2;
146655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 mail_box_3;
147655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler5;
148655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
149655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler6;
150655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler7;
151655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler8;
152655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 pci_doorbell;
153655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
154655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 loc_doorbell;
155655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 intr_ctrl_stat;
156655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 init_ctrl;
157655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
158655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
159655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define WIN_RAM 0x00000001L
160655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define WIN_CREG 0x14000001L
161655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define TIMER_BY_1M 0x00
162655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define TIMER_BY_256K 0x01
163655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
164655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define TIMER_BY_128K 0x02
165655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define TIMER_BY_32K 0x03
166655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#endif
167655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#ifndef ZFIRM_ID
168655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
169655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define MAX_CHAN 64
170655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ID_ADDRESS 0x00000180L
171655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZFIRM_ID 0x5557465AL
172655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZFIRM_HLT 0x59505B5CL
173655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
174655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZFIRM_RST 0x56040674L
175655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZF_TINACT_DEF 1000
176655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define ZF_TINACT ZF_TINACT_DEF
177655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct FIRM_ID {
178655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
179655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 signature;
180655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 zfwctrl_addr;
181655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
182655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_OS_LINUX 0x00000030
183655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
184655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CH_DISABLE 0x00000000
185655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CH_TXENABLE 0x00000001
186655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CH_RXENABLE 0x00000002
187655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CH_ENABLE 0x00000003
188655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
189655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CH_LOOPBACK 0x00000004
190655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_NONE 0x00000000
191655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_ODD 0x00000001
192655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_EVEN 0x00000002
193655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
194655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_MARK 0x00000004
195655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_SPACE 0x00000008
196655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_PARITY 0x000000ff
197655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_DISCARD 0x00000100
198655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
199655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_PR_IGNORE 0x00000200
200655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_CS5 0x00000001
201655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_CS6 0x00000002
202655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_CS7 0x00000004
203655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
204655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_CS8 0x00000008
205655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_CS 0x0000000f
206655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_1STOP 0x00000010
207655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_15STOP 0x00000020
208655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
209655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_2STOP 0x00000040
210655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_DL_STOP 0x000000f0
211655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_DISABLE 0x00000000
212655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_TXBEMPTY 0x00000001
213655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
214655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_TXLOWWM 0x00000002
215655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_RXHIWM 0x00000010
216655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_RXNNDT 0x00000020
217655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_MDCD 0x00000100
218655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
219655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_MDSR 0x00000200
220655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_MRI 0x00000400
221655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_MCTS 0x00000800
222655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_RXBRK 0x00001000
223655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
224655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_PR_ERROR 0x00002000
225655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_FR_ERROR 0x00004000
226655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_OVR_ERROR 0x00008000
227655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_RXOFL 0x00010000
228655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
229655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_IOCTLW 0x00020000
230655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_MRTS 0x00040000
231655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_IN_ICHAR 0x00080000
232655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FL_OXX 0x00000001
233655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
234655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FL_IXX 0x00000002
235655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FL_OIXANY 0x00000004
236655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FL_SWFLOW 0x0000000f
237655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FS_TXIDLE 0x00000000
238655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
239655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FS_SENDING 0x00000001
240655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_FS_SWFLOW 0x00000002
241655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_PARAM 0x80000000
242655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_RTS 0x00000001
243655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
244655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_DTR 0x00000004
245655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_DCD 0x00000100
246655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_DSR 0x00000200
247655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_RI 0x00000400
248655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
249655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_RS_CTS 0x00000800
250655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_RESET 0x01
251655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_IOCTL 0x02
252655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_IOCTLW 0x03
253655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
254655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_IOCTLM 0x04
255655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_SENDXOFF 0x10
256655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_SENDXON 0x11
257655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_CLFLOW 0x12
258655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
259655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_SENDBRK 0x41
260655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_INTBACK 0x42
261655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_SET_BREAK 0x43
262655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_CLR_BREAK 0x44
263655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
264655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_CMD_DONE 0x45
265655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_INTBACK2 0x46
266655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_TINACT 0x51
267655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_IRQ_ENBL 0x52
268655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
269655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_IRQ_DSBL 0x53
270655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_ACK_ENBL 0x54
271655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_ACK_DSBL 0x55
272655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_FLUSH_RX 0x56
273655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
274655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_FLUSH_TX 0x57
275655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_Q_ENABLE 0x58
276655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_Q_DISABLE 0x59
277655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_TXBEMPTY 0x60
278655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
279655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_TXLOWWM 0x61
280655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_RXHIWM 0x62
281655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_RXNNDT 0x63
282655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_TXFEMPTY 0x64
283655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
284655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_ICHAR 0x65
285655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_MDCD 0x70
286655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_MDSR 0x71
287655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_MRI 0x72
288655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
289655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_MCTS 0x73
290655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_MRTS 0x74
291655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_RXBRK 0x84
292655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_PR_ERROR 0x85
293655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
294655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_FR_ERROR 0x86
295655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_OVR_ERROR 0x87
296655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_RXOFL 0x88
297655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_CMDERROR 0x90
298655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
299655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_FATAL 0x91
300655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define C_CM_HW_RESET 0x92
301655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct CH_CTRL {
302655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 op_mode;
303655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
304655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 intr_enable;
305655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 sw_flow;
306655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 flow_status;
307655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 comm_baud;
308655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
309655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 comm_parity;
310655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 comm_data_l;
311655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 comm_flags;
312655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 hw_flow;
313655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
314655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rs_control;
315655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rs_status;
316655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 flow_xon;
317655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 flow_xoff;
318655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
319655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 hw_overflow;
320655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 sw_overflow;
321655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 comm_error;
322655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 ichar;
323655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
324655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler[7];
325655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
326655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct BUF_CTRL {
327655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 flag_dma;
328655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
329655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 tx_bufaddr;
330655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 tx_bufsize;
331655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 tx_threshold;
332655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 tx_get;
333655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
334655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 tx_put;
335655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rx_bufaddr;
336655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rx_bufsize;
337655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rx_threshold;
338655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
339655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rx_get;
340655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 rx_put;
341655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler[5];
342655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
343655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
344655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct BOARD_CTRL {
345655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 n_channel;
346655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 fw_version;
347655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 op_system;
348655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
349655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 dr_version;
350655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 inactivity;
351655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 hcmd_channel;
352655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 hcmd_param;
353655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
354655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 fwcmd_channel;
355655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 fwcmd_param;
356655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 zf_int_queue_addr;
357655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng __u32 filler[6];
358655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
359655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
360655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#define QUEUE_SIZE (10*MAX_CHAN)
361655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct INT_QUEUE {
362655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned char intr_code[QUEUE_SIZE];
363655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
364655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long channel[QUEUE_SIZE];
365655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long param[QUEUE_SIZE];
366655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long put;
367655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng unsigned long get;
368655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
369655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
370655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Chengstruct ZFW_CTRL {
371655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng struct BOARD_CTRL board_ctrl;
372655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng struct CH_CTRL ch_ctrl[MAX_CHAN];
373655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
374655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng struct BUF_CTRL buf_ctrl[MAX_CHAN];
375655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng};
376655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#endif
377655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng#endif
378655a7c081f83b8351ed5f11a6c6accd9458293a8Ben Cheng/* WARNING: DO NOT EDIT, AUTO-GENERATED CODE - SEE TOP FOR INSTRUCTIONS */
379