Searched defs:gen (Results 1 - 25 of 148) sorted by relevance

123456

/external/mesa3d/src/glsl/builtins/tools/
H A Dgenerate_outerProductGLSL.py3 def gen(x, y): function
15 gen(2,2)
16 gen(2,3) # mat2x3 means 2 columns, 3 rows
17 gen(2,4)
18 gen(3,2)
19 gen(3,3)
20 gen(3,4)
21 gen(4,2)
22 gen(4,3)
23 gen(
[all...]
H A Dgenerate_transposeGLSL.py3 def gen(x, y): function
20 gen(2,2)
21 gen(2,3) # mat2x3 means 2 columns, 3 rows
22 gen(2,4)
23 gen(3,2)
24 gen(3,3)
25 gen(3,4)
26 gen(4,2)
27 gen(4,3)
28 gen(
[all...]
/external/deqp/scripts/
H A Dgen_egl.py25 def gen (): function
26 egl.gen()
29 gen()
/external/v8/test/mjsunit/compiler/
H A Dosr-maze2.js49 function gen(i) { function
61 var f = gen(i);
H A Dosr-manual1.js9 function gen(w) { // defeat compiler cache. function
28 var f = gen(i);
H A Dosr-manual2.js9 function gen(w) { // defeat compiler cache. function
28 var f = gen(i);
/external/v8/test/mjsunit/regress/
H A Dregress-crbug-522895.js18 function gen() { function
22 gen()();
/external/deqp/scripts/egl/
H A Dfunc_ptrs.py36 def gen (iface): function
H A Denums.py51 def gen (iface): function
H A Dstr_util.py146 def gen (iface): function
H A D__init__.py32 def gen (): function
36 str_util.gen(iface)
37 call_log_wrapper.gen(iface)
38 proc_address_tests.gen()
39 enums.gen(iface)
40 func_ptrs.gen(iface)
41 library.gen(registry)
H A Dcall_log_wrapper.py147 def gen (iface): function
H A Dlibrary.py84 def gen (registry): function
H A Dproc_address_tests.py112 def gen (): function
/external/v8/tools/
H A Dcompare-table-gen.js6 // Usage: d8 compare-table-gen.js -- lt|lteq|gt|gteq|eq|ne|eq|sne|min|max
43 function gen(name, cmp, infix) { function
110 case "lt": gen("lt", "<", true); break;
111 case "lteq": gen("lteq", "<=", true); break;
112 case "gt": gen("gt", ">", true); break;
113 case "gteq": gen("gteq", ">=", true); break;
114 case "eq": gen("eq", "==", true); break;
115 case "ne": gen("ne", "!=", true); break;
116 case "seq": gen("seq", "===", true); break;
117 case "sne": gen("sn
[all...]
/external/autotest/client/deps/pyxinput/
H A Dpyxinput.py26 def gen(self): member in class:setup.Opt
42 opt.gen()
51 opt.gen()
/external/javassist/src/main/javassist/compiler/
H A DProceedHandler.java28 void doit(JvstCodeGen gen, Bytecode b, ASTList args) throws CompileError; argument
/external/libcxx/test/std/algorithms/alg.modifying.operations/alg.random.shuffle/
H A Drandom_shuffle_rand.pass.cpp21 struct gen struct
34 gen r;
/external/mockito/cglib-and-asm/src/org/mockito/cglib/transform/
H A DTransformingClassGenerator.java23 private ClassGenerator gen; field in class:TransformingClassGenerator
26 public TransformingClassGenerator(ClassGenerator gen, ClassTransformer t) { argument
27 this.gen = gen;
33 gen.generateClass(t);
/external/skia/src/gpu/glsl/
H A DGrGLSL.cpp12 bool GrGLSLSupportsNamedFragmentShaderOutputs(GrGLSLGeneration gen) { argument
13 switch (gen) {
/external/skia/tests/
H A DImageGeneratorTest.cpp26 SkImageGenerator* gen; local
29 gen = SkImageGenerator::NewFromEncoded(data);
30 REPORTER_ASSERT(reporter, nullptr == gen);
36 gen = SkImageGenerator::NewFromEncoded(data);
37 REPORTER_ASSERT(reporter, nullptr == gen);
/external/boringssl/src/crypto/x509v3/
H A Dv3_akey.c124 GENERAL_NAME *gen = NULL; local
191 || !(gen = GENERAL_NAME_new())
192 || !sk_GENERAL_NAME_push(gens, gen))
197 gen->type = GEN_DIRNAME;
198 gen->d.dirn = isname;
/external/mesa3d/src/gallium/winsys/radeon/drm/
H A Dradeon_drm_winsys.h48 enum radeon_generation gen; member in struct:radeon_drm_winsys
/external/mesa3d/src/mesa/drivers/dri/intel/
H A Dintel_screen.h41 int gen; member in struct:intel_screen
/external/avahi/avahi-core/
H A Diface-linux.c47 struct rtgenmsg *gen; local
59 gen = NLMSG_DATA(n);
60 memset(gen, 0, sizeof(struct rtgenmsg));
61 gen->rtgen_family = AF_UNSPEC;

Completed in 590 milliseconds

123456