Searched refs:is_object (Results 1 - 25 of 38) sorted by relevance

12

/art/runtime/interpreter/mterp/arm64/
H A Dop_move_16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_from16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_result.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_sget.S1 %default { "is_object":"0", "helper":"MterpGet32Static", "extend":"" }
20 .if $is_object
H A Dop_iget.S1 %default { "extend":"", "is_object":"0", "helper":"artGet32InstanceFromCode"}
19 .if $is_object
/art/runtime/interpreter/mterp/x86_64/
H A Dop_move_from16.S1 %default { "is_object":"0" }
6 .if $is_object
H A Dop_move.S1 %default { "is_object":"0" }
8 .if $is_object
H A Dop_move_16.S1 %default { "is_object":"0" }
7 .if $is_object
H A Dop_move_result.S1 %default { "is_object":"0" }
6 .if $is_object
/art/runtime/interpreter/mterp/mips/
H A Dop_move_16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_from16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_result.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_sget.S1 %default { "is_object":"0", "helper":"MterpGet32Static" }
20 .if $is_object
/art/runtime/interpreter/mterp/x86/
H A Dop_move.S1 %default { "is_object":"0" }
8 .if $is_object
H A Dop_move_16.S1 %default { "is_object":"0" }
7 .if $is_object
H A Dop_move_from16.S1 %default { "is_object":"0" }
7 .if $is_object
H A Dop_move_result.S1 %default { "is_object":"0" }
6 .if $is_object
/art/runtime/interpreter/mterp/arm/
H A Dop_move.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_from16.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_move_result.S1 %default { "is_object":"0" }
9 .if $is_object
H A Dop_sget.S1 %default { "is_object":"0", "helper":"MterpGet32Static" }
20 .if $is_object
/art/runtime/interpreter/mterp/mips64/
H A Dop_move.S1 %default { "is_object":"0" }
9 .if $is_object

Completed in 186 milliseconds

12