Lines Matching refs:dt

11 } dt __attribute__((aligned (32)));
680 __asm __volatile__ ("vfmadd132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
681 thisres |= test( w, dt.expected[i] );
682 __asm __volatile__ ("vfmadd132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "m" (dt.y[i]), "x" (dt.z[i]));
683 thisres |= test( w, dt.expected[i] );
684 __asm __volatile__ ("vfmadd213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
685 thisres |= test( w, dt.expected[i] );
686 __asm __volatile__ ("vfmadd213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "m" (dt.z[i]));
687 thisres |= test( w, dt.expected[i] );
688 __asm __volatile__ ("vfmadd231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "x" (dt.y[i]), "0" (dt.z[i]));
689 thisres |= test( w, dt.expected[i] );
690 __asm __volatile__ ("vfmadd231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "m" (dt.y[i]), "0" (dt.z[i]));
691 thisres |= test( w, dt.expected[i] );
693 printf( "Failure 1 %d %a %a\n", i, w, dt.expected[i] );
696 __asm __volatile__ ("vfnmsub132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
697 thisres |= test( -w, dt.expected[i] );
698 __asm __volatile__ ("vfnmsub132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "m" (dt.y[i]), "x" (dt.z[i]));
699 thisres |= test( -w, dt.expected[i] );
700 __asm __volatile__ ("vfnmsub213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
701 thisres |= test( -w, dt.expected[i] );
702 __asm __volatile__ ("vfnmsub213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "m" (dt.z[i]));
703 thisres |= test( -w, dt.expected[i] );
704 __asm __volatile__ ("vfnmsub231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "x" (dt.y[i]), "0" (dt.z[i]));
705 thisres |= test( -w, dt.expected[i] );
706 __asm __volatile__ ("vfnmsub231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "m" (dt.y[i]), "0" (dt.z[i]));
707 thisres |= test( -w, dt.expected[i] );
709 printf( "Failure 2 %d %a %a\n", i, w, dt.expected[i] );
713 dt.z[i] = -dt.z[i];
716 __asm __volatile__ ("vfmsub132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
717 thisres |= test( w, dt.expected[i] );
718 __asm __volatile__ ("vfmsub132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "m" (dt.y[i]), "x" (dt.z[i]));
719 thisres |= test( w, dt.expected[i] );
720 __asm __volatile__ ("vfmsub213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
721 thisres |= test( w, dt.expected[i] );
722 __asm __volatile__ ("vfmsub213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "m" (dt.z[i]));
723 thisres |= test( w, dt.expected[i] );
724 __asm __volatile__ ("vfmsub231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "x" (dt.y[i]), "0" (dt.z[i]));
725 thisres |= test( w, dt.expected[i] );
726 __asm __volatile__ ("vfmsub231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "m" (dt.y[i]), "0" (dt.z[i]));
727 thisres |= test( w, dt.expected[i] );
729 printf( "Failure 3 %d %a %a\n", i, w, dt.expected[i] );
732 __asm __volatile__ ("vfnmadd132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
733 thisres |= test( -w, dt.expected[i] );
734 __asm __volatile__ ("vfnmadd132sd %2, %3, %0" : "=x" (w) : "0" (dt.x[i]), "m" (dt.y[i]), "x" (dt.z[i]));
735 thisres |= test( -w, dt.expected[i] );
736 __asm __volatile__ ("vfnmadd213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "x" (dt.z[i]));
737 thisres |= test( -w, dt.expected[i] );
738 __asm __volatile__ ("vfnmadd213sd %3, %2, %0" : "=x" (w) : "0" (dt.x[i]), "x" (dt.y[i]), "m" (dt.z[i]));
739 thisres |= test( -w, dt.expected[i] );
740 __asm __volatile__ ("vfnmadd231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "x" (dt.y[i]), "0" (dt.z[i]));
741 thisres |= test( -w, dt.expected[i] );
742 __asm __volatile__ ("vfnmadd231sd %2, %1, %0" : "=x" (w) : "x" (dt.x[i]), "m" (dt.y[i]), "0" (dt.z[i]));
743 thisres |= test( -w, dt.expected[i] );
745 printf( "Failure 4 %d %a %a\n", i, w, dt.expected[i] );
749 dt.z[i] = -dt.z[i];
754 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
755 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
757 thisres |= test( dt.res[i+j], dt.expected[i+j] );
760 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
761 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
763 thisres |= test( dt.res[i+j], dt.expected[i+j] );
766 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
767 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
769 thisres |= test( dt.res[i+j], dt.expected[i+j] );
772 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
773 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
775 thisres |= test( dt.res[i+j], dt.expected[i+j] );
778 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
779 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
781 thisres |= test( dt.res[i+j], dt.expected[i+j] );
784 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
785 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
787 thisres |= test( dt.res[i+j], dt.expected[i+j] );
791 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
798 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
799 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
801 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
804 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
805 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
807 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
810 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
811 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
813 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
816 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
817 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
819 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
822 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
823 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
825 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
828 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
829 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
831 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
835 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
841 dt.z[i] = -dt.z[i];
846 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
847 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
849 thisres |= test( dt.res[i+j], dt.expected[i+j] );
852 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
853 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
855 thisres |= test( dt.res[i+j], dt.expected[i+j] );
858 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
859 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
861 thisres |= test( dt.res[i+j], dt.expected[i+j] );
864 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
865 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
867 thisres |= test( dt.res[i+j], dt.expected[i+j] );
870 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
871 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
873 thisres |= test( dt.res[i+j], dt.expected[i+j] );
876 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
877 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
879 thisres |= test( dt.res[i+j], dt.expected[i+j] );
883 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
890 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
891 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
893 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
896 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
897 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
899 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
902 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
903 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
905 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
908 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
909 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
911 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
914 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
915 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
917 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
920 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
921 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
923 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
927 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
933 dt.z[i] = -dt.z[i];
938 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
939 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
941 thisres |= test( dt.res[i+j], dt.expected[i+j] );
944 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
945 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
947 thisres |= test( dt.res[i+j], dt.expected[i+j] );
950 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
951 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
953 thisres |= test( dt.res[i+j], dt.expected[i+j] );
956 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
957 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
959 thisres |= test( dt.res[i+j], dt.expected[i+j] );
962 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
963 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
965 thisres |= test( dt.res[i+j], dt.expected[i+j] );
968 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
969 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
971 thisres |= test( dt.res[i+j], dt.expected[i+j] );
975 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
981 dt.z[i] = -dt.z[i];
986 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
987 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
989 thisres |= test( dt.res[i+j], dt.expected[i+j] );
992 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
993 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
995 thisres |= test( dt.res[i+j], dt.expected[i+j] );
998 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
999 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1001 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1004 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1005 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1007 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1010 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1011 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1013 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1016 "vmovapd %%xmm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1017 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1019 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1023 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1029 dt.z[i] = -dt.z[i];
1034 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1035 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1037 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1040 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1041 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1043 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1046 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1047 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1049 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1052 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1053 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1055 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1058 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1059 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1061 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1064 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1065 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1067 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1071 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1078 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1079 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1081 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1084 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1085 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1087 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1090 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1091 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1093 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1096 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1097 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1099 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1102 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1103 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1105 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1108 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1109 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1111 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1115 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1121 dt.z[i] = -dt.z[i];
1126 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1127 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1129 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1132 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1133 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1135 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1138 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1139 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1141 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1144 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1145 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1147 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1150 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1151 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1153 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1156 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1157 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1159 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1163 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1170 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1171 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1173 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1176 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1177 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1179 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1182 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1183 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1185 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1188 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1189 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1191 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1194 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1195 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1197 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1200 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1201 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1203 thisres |= test( -dt.res[i+j], dt.expected[i+j] );
1207 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1213 dt.z[i] = -dt.z[i];
1218 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1219 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1221 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1224 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1225 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1227 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1230 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1231 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1233 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1236 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1237 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1239 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1242 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1243 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1245 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1248 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1249 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1251 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1255 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1261 dt.z[i] = -dt.z[i];
1266 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1267 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1269 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1272 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1273 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1275 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1278 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1279 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1281 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1284 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1285 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1287 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1290 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1291 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1293 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1296 "vmovapd %%ymm9, (%0)" : : "r" (&dt.res[i]), "r" (&dt.x[i]),
1297 "r" (&dt.y[i]), "r" (&dt.z[i]) : "xmm7", "xmm8", "xmm9");
1299 thisres |= test( dt.res[i+j], dt.expected[i+j] );
1303 printf( " %a %a", dt.res[i+j], dt.expected[i+j] );
1309 dt.z[i] = -dt.z[i];
1371 dt.x[i] = a; \
1372 dt.y[i] = b; \
1373 dt.z[i] = c; \
1374 dt.expected[i] = d; \