Searched defs:in1 (Results 1 - 25 of 89) sorted by relevance

1234

/external/libvpx/libvpx/vp9/common/mips/msa/
H A Dvp9_idct4x4_msa.c18 v8i16 in0, in1, in2, in3; local
21 LD4x4_SH(input, in0, in1, in2, in3);
22 TRANSPOSE4x4_SH_SH(in0, in1, in2, in3, in0, in1, in2, in3);
27 VP9_IDCT4x4(in0, in1, in2, in3, in0, in1, in2, in3);
29 TRANSPOSE4x4_SH_SH(in0, in1, in2, in3, in0, in1, in2, in3);
30 VP9_IDCT4x4(in0, in1, in2, in3, in0, in1, in
[all...]
H A Dvp9_idct8x8_msa.c18 v8i16 in0, in1, in2, in3, in4, in5, in6, in7; local
21 LD_SH8(input, 8, in0, in1, in2, in3, in4, in5, in6, in7);
23 TRANSPOSE8x8_SH_SH(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3,
29 VP9_IDCT8x8_1D(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3,
32 TRANSPOSE8x8_SH_SH(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2,
34 VP9_IDCT8x8_1D(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in
[all...]
/external/webrtc/webrtc/modules/audio_coding/codecs/ilbc/
H A Dinterpolate.c28 int16_t *in1, /* (i) first input vector */
42 out[i] = (int16_t)((coef * in1[i] + invcoef * in2[i] + 8192) >> 14);
26 WebRtcIlbcfix_Interpolate( int16_t *out, int16_t *in1, int16_t *in2, int16_t coef, int16_t length) argument
/external/caliper/caliper/src/main/java/com/google/caliper/util/
H A DLinearTranslation.java31 * Constructs a linear translation for which {@code translate(in1) == out1}
34 * @throws IllegalArgumentException if {@code in1 == in2}
36 public LinearTranslation(double in1, double out1, double in2, double out2) { argument
37 if (Math.abs(in1 - in2) < EQUALITY_TOLERANCE) {
38 throw new IllegalArgumentException("in1 and in2 are approximately equal");
40 double divisor = in1 - in2;
42 this.b = (in1 * out2 - in2 * out1) / divisor;
/external/libcxx/test/std/experimental/numeric/numeric.ops/numeric.ops.gcd/
H A Dgcd.pass.cpp38 constexpr bool test0(Input1 in1, Input2 in2, Output out) argument
40 static_assert((std::is_same<Output, decltype(std::experimental::gcd(in1, in2))>::value), "" );
41 static_assert((std::is_same<Output, decltype(std::experimental::gcd(in2, in1))>::value), "" );
42 return out == std::experimental::gcd(in1, in2) ? true : (std::abort(), false);
/external/libcxx/test/std/experimental/numeric/numeric.ops/numeric.ops.lcm/
H A Dlcm.pass.cpp37 constexpr bool test0(Input1 in1, Input2 in2, Output out) argument
41 return out == std::experimental::lcm(in1, in2) ? true : (std::abort(), false);
/external/libcxx/test/std/numerics/numeric.ops/numeric.ops.gcd/
H A Dgcd.pass.cpp39 constexpr bool test0(Input1 in1, Input2 in2, Output out) argument
41 static_assert((std::is_same<Output, decltype(std::gcd(in1, in2))>::value), "" );
42 static_assert((std::is_same<Output, decltype(std::gcd(in2, in1))>::value), "" );
43 return out == std::gcd(in1, in2) ? true : (std::abort(), false);
/external/libcxx/test/std/numerics/numeric.ops/numeric.ops.lcm/
H A Dlcm.pass.cpp37 constexpr bool test0(Input1 in1, Input2 in2, Output out) argument
41 return out == std::lcm(in1, in2) ? true : (std::abort(), false);
/external/libvpx/libvpx/vp9/common/x86/
H A Dvp9_idct_intrin_sse2.c121 __m128i in0[16], in1[16]; local
125 load_buffer_8x16(input, in1);
129 idct16_sse2(in0, in1);
130 idct16_sse2(in0, in1);
133 idct16_sse2(in0, in1);
134 iadst16_sse2(in0, in1);
137 iadst16_sse2(in0, in1);
138 idct16_sse2(in0, in1);
141 iadst16_sse2(in0, in1);
142 iadst16_sse2(in0, in1);
[all...]
/external/libvpx/libvpx/vp9/encoder/mips/msa/
H A Dvp9_fdct4x4_msa.c18 v8i16 in0, in1, in2, in3, in4; local
20 LD_SH4(input, src_stride, in0, in1, in2, in3);
22 in0 += in1;
25 SUB2(in4, in1, in4, in2, in1, in2);
27 in3 += in1;
29 TRANSPOSE4x4_SH_SH(in0, in2, in3, in1, in0, in2, in3, in1);
32 in1 -= in3;
33 in4 = (in0 - in1) >>
50 v8i16 in0, in1, in2, in3; local
[all...]
H A Dvp9_fdct8x8_msa.c18 v8i16 in0, in1, in2, in3, in4, in5, in6, in7; local
20 LD_SH8(input, stride, in0, in1, in2, in3, in4, in5, in6, in7);
21 SLLI_4V(in0, in1, in2, in3, 2);
26 VP9_FDCT8(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3, in4,
28 TRANSPOSE8x8_SH_SH(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2,
30 VP9_FDCT8(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3, in4,
34 VP9_ADST8(in0, in1, in
[all...]
/external/webrtc/webrtc/common_audio/signal_processing/
H A Dvector_scaling_operations_mips.c27 int16_t *in1 = (int16_t*)in_vector1; local
39 "lh %[r0], 0(%[in1]) \n\t"
44 "addiu %[in1], %[in1], 2 \n\t"
48 : [value32] "=&r" (value32), [out] "+r" (out), [in1] "+r" (in1),
H A Dilbc_specific_functions.c53 void WebRtcSpl_AddVectorsAndShift(int16_t *out, const int16_t *in1, argument
59 const int16_t *in1ptr = in1;
H A Dresample_fractional.c147 static void WebRtcSpl_ResampDotProduct(const int32_t *in1, const int32_t *in2, argument
156 tmp1 += coef * in1[0];
160 tmp1 += coef * in1[1];
164 tmp1 += coef * in1[2];
168 tmp1 += coef * in1[3];
172 tmp1 += coef * in1[4];
176 tmp1 += coef * in1[5];
180 tmp1 += coef * in1[6];
184 tmp1 += coef * in1[7];
188 *out1 = tmp1 + coef * in1[
[all...]
H A Dresample.c312 static void WebRtcSpl_DotProdIntToInt(const int32_t* in1, const int32_t* in2, argument
321 tmp1 += coef * in1[0];
325 tmp1 += coef * in1[1];
329 tmp1 += coef * in1[2];
333 tmp1 += coef * in1[3];
337 tmp1 += coef * in1[4];
341 tmp1 += coef * in1[5];
345 tmp1 += coef * in1[6];
349 tmp1 += coef * in1[7];
353 *out1 = tmp1 + coef * in1[
358 WebRtcSpl_DotProdIntToShort(const int32_t* in1, const int32_t* in2, const int16_t* coef_ptr, int16_t* out1, int16_t* out2) argument
[all...]
/external/libvpx/libvpx/vpx_dsp/mips/
H A Didct4x4_msa.c15 v8i16 in0, in1, in2, in3; local
19 LD4x4_SH(input, in0, in2, in3, in1);
20 TRANSPOSE4x4_SH_SH(in0, in2, in3, in1, in0, in2, in3, in1);
24 UNPCK_R_SH_SW(in1, in1_r);
45 PCKEV_H4_SH(in0_r, in0_r, in1_r, in1_r, in2_r, in2_r, in3_r, in3_r, in0, in1,
47 ADDBLK_ST4x4_UB(in0, in3, in1, in2, dst, dst_stride);
53 v8i16 in1, in0 = { 0 }; local
64 in1 = in0 >> 1;
65 in0 -= in1;
72 v8i16 in0, in1, in2, in3; local
[all...]
H A Didct8x8_msa.c15 v8i16 in0, in1, in2, in3, in4, in5, in6, in7; local
18 LD_SH8(input, 8, in0, in1, in2, in3, in4, in5, in6, in7);
21 TRANSPOSE8x8_SH_SH(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3,
24 VP9_IDCT8x8_1D(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3,
27 TRANSPOSE8x8_SH_SH(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in2, in3,
30 VP9_IDCT8x8_1D(in0, in1, in2, in3, in4, in5, in6, in7, in0, in1, in
43 v8i16 in0, in1, in2, in3, in4, in5, in6, in7; local
[all...]
/external/libvpx/libvpx/vpx_dsp/x86/
H A Dfwd_txfm_sse2.c19 __m128i in0, in1; local
23 in1 = _mm_loadl_epi64((const __m128i *)(input + 1 * stride));
24 in1 = _mm_unpacklo_epi64(
25 in1, _mm_loadl_epi64((const __m128i *)(input + 2 * stride)));
29 tmp = _mm_add_epi16(in0, in1);
31 in1 = _mm_unpackhi_epi16(zero, tmp);
33 in1 = _mm_srai_epi32(in1, 16);
35 tmp = _mm_add_epi32(in0, in1);
37 in1
49 __m128i in1 = _mm_load_si128((const __m128i *)(input + 1 * stride)); local
89 __m128i in0, in1, in2, in3; local
158 __m128i in0, in1, in2, in3; local
[all...]
/external/toybox/toys/net/
H A Dnetcat.c90 int sockfd=-1, in1 = 0, in2 = 0, out1 = 1, out2 = 1; local
105 if (TT.filename) in1 = out2 = xopen(TT.filename, O_RDWR);
129 in1 = out2 = sockfd;
149 in1 = out2 = accept(sockfd, (struct sockaddr *)address, &len);
151 if (in1<0) perror_exit("accept");
179 close(in1);
182 dup2(in1, 0);
183 dup2(in1, 1);
184 if (toys.optflags&FLAG_L) dup2(in1, 2);
185 if (in1>
[all...]
/external/webrtc/webrtc/modules/audio_coding/codecs/isac/fix/source/
H A Dfilters_mips.c139 int16_t* in1 = (int16_t*)x + i; local
152 "ulw %[r1], 0(%[in1]) \n\t"
154 "ulw %[r3], 4(%[in1]) \n\t"
157 "lh %[r1], 0(%[in1]) \n\t"
159 "lh %[r3], 2(%[in1]) \n\t"
161 "lh %[r5], 4(%[in1]) \n\t"
163 "lh %[r7], 6(%[in1]) \n\t"
177 " addiu %[in1], %[in1], 8 \n\t"
184 "lh %[r1], 0(%[in1]) \
208 int16_t* in1 = (int16_t*)x + i; local
281 int16_t* in1 = (int16_t*)x + i; local
[all...]
/external/clang/test/CodeGen/
H A Dmult-alt-generic.c43 register int in1 = 1; local
45 asm("foo %1,%0" : "=r" (out0) : "<r" (in1));
47 asm("foo %1,%0" : "=r" (out0) : "r<" (in1));
54 register int in1 = 1; local
56 asm("foo %1,%0" : "=r" (out0) : ">r" (in1));
58 asm("foo %1,%0" : "=r" (out0) : "r>" (in1));
65 register int in1 = 1; local
67 asm("foo %1,%0" : "=r" (out0) : "r" (in1));
113 register int in1 = 1; local
115 asm("foo %1,%0" : "=r" (out0) : "g" (in1));
126 register int in1 = 1; local
176 register int in1 = 1; local
187 register int in1 = 1; local
198 register int in1 = 1; local
246 register int in1 = 1; local
259 register int in1 = 1; local
[all...]
/external/libvpx/libvpx/vpx_dsp/arm/
H A Dhighbd_idct32x32_135_add_neon.c20 const tran_low_t *input, int32x4x2_t *const in0, int32x4x2_t *const in1,
26 in1->val[0] = vld1q_s32(input);
27 in1->val[1] = vld1q_s32(input + 4);
49 int32x4_t *const in0, int32x4_t *const in1,
56 *in1 = vld1q_s32(input);
19 load_8x8_s32_dual( const tran_low_t *input, int32x4x2_t *const in0, int32x4x2_t *const in1, int32x4x2_t *const in2, int32x4x2_t *const in3, int32x4x2_t *const in4, int32x4x2_t *const in5, int32x4x2_t *const in6, int32x4x2_t *const in7) argument
48 load_4x8_s32_dual(const tran_low_t *input, int32x4_t *const in0, int32x4_t *const in1, int32x4_t *const in2, int32x4_t *const in3, int32x4_t *const in4, int32x4_t *const in5, int32x4_t *const in6, int32x4_t *const in7) argument
H A Didct32x32_135_add_neon.c21 int16x8_t *const in1, int16x8_t *const in2,
27 *in1 = load_tran_low_to_s16q(input);
43 int16x4_t *const in1, int16x4_t *const in2,
49 *in1 = load_tran_low_to_s16d(input);
20 load_8x8_s16(const tran_low_t *input, int16x8_t *const in0, int16x8_t *const in1, int16x8_t *const in2, int16x8_t *const in3, int16x8_t *const in4, int16x8_t *const in5, int16x8_t *const in6, int16x8_t *const in7) argument
42 load_4x8_s16(const tran_low_t *input, int16x4_t *const in0, int16x4_t *const in1, int16x4_t *const in2, int16x4_t *const in3, int16x4_t *const in4, int16x4_t *const in5, int16x4_t *const in6, int16x4_t *const in7) argument
/external/skia/tests/
H A DLListTest.cpp30 bool in0, bool in1, bool in2, bool in3,
38 REPORTER_ASSERT(reporter, in1 == list.isInList(&elements[1]));
26 check_list(const SkTInternalLList<ListElement>& list, skiatest::Reporter* reporter, bool empty, int numElements, bool in0, bool in1, bool in2, bool in3, ListElement elements[4]) argument
/external/toybox/lib/
H A Dnet.c61 // Loop forwarding data from in1 to out1 and in2 to out2, handling
64 int pollinate(int in1, int in2, int out1, int out2, int timeout, int shutdown_timeout) argument
71 pollfds[0].fd = in1;
87 // Note that in1 closing triggers timeout, in2 returns now.

Completed in 3323 milliseconds

1234