Searched defs:Module (Results 1 - 25 of 130) sorted by relevance

123456

/external/clang/test/Modules/Inputs/ModuleMapLocations/Both_F.framework/Headers/
H A Da.h1 @import Module; // Don't cause redefinition error. variable
/external/dagger2/core/src/main/java/dagger/
H A DModule.java28 public @interface Module { interface
30 * Additional {@code @Module}-annotated classes from which this module is
/external/kmod/libkmod/python/kmod/
H A Dmodule.pxd21 cdef class Module (object): class in inherits:object
/external/webrtc/webrtc/modules/include/
H A Dmodule.h20 class Module { class in namespace:webrtc
59 virtual ~Module() {}
62 // Reference counted version of the Module interface.
63 class RefCountedModule : public Module {
/external/chromium-trace/catapult/common/py_utils/py_utils/refactor/
H A D__init__.py16 from py_utils.refactor.module import Module namespace
20 module = Module(file_path)
H A Dmodule.py8 class Module(object): class in inherits:object
/external/v8/tools/profviz/
H A Dworker.js152 var arrc = Module["intArrayFromString"](plot_script, true);
157 function() { Module.run([input_file_name]); });
163 var Module = {
/external/guice/core/src/com/google/inject/
H A DModule.java23 * {@code Module}s and some bootstrapping code.
25 * <p>Your Module classes can use a more streamlined syntax by extending
33 public interface Module { interface
39 * {@link Binder#install(Module)}, which ensures that {@link Provides provider methods} are
/external/devlib/devlib/module/
H A Dcooling.py17 from devlib.module import Module namespace
21 class MbedFanActiveCoolingModule(Module):
49 class OdroidXU3ctiveCoolingModule(Module):
H A Dhotplug.py1 from devlib.module import Module namespace
4 class HotplugModule(Module):
H A D__init__.py25 class Module(object): class in inherits:object
62 class HardRestModule(Module): # pylint: disable=R0921
70 class BootModule(Module): # pylint: disable=R0921
85 class FlashModule(Module):
101 raise ValueError('Module "{}" does not exist'.format(mod))
102 elif issubclass(mod, Module):
109 if not issubclass(mod, Module):
110 raise ValueError('A module must subclass devlib.Module')
114 raise ValueError('Module {} already exists'.format(mod.name))
121 if isclass(obj) and issubclass(obj, Module) an
[all...]
H A Dthermal.py17 from devlib.module import Module namespace
73 class ThermalModule(Module):
/external/llvm/bindings/python/llvm/tests/
H A Dtest_bitreader.py6 from ..core import Module namespace
/external/python/cpython2/Tools/bgen/bgen/
H A DbgenModule.py4 class Module(GeneratorGroup): class in inherits:GeneratorGroup
30 OutHeader1("Module " + self.name)
88 m = Module("spam", "", "#include <stdio.h>")
/external/google-breakpad/src/processor/
H A Dbasic_source_line_resolver_types.h74 class BasicSourceLineResolver::Module : public SourceLineResolverBase::Module { class in class:google_breakpad::BasicSourceLineResolver
76 explicit Module(const string &name) : name_(name), is_corrupt_(false) { } function in class:google_breakpad::BasicSourceLineResolver::Module
77 virtual ~Module() { }
156 // DWARF CFI stack walking data. The Module stores the initial rule sets
H A Dfast_source_line_resolver_types.h113 class FastSourceLineResolver::Module: public SourceLineResolverBase::Module { class in class:google_breakpad::FastSourceLineResolver
115 explicit Module(const string &name) : name_(name), is_corrupt_(false) { } function in class:google_breakpad::FastSourceLineResolver::Module
116 virtual ~Module() { }
143 // Number of serialized map components of Module.
164 // DWARF CFI stack walking data. The Module stores the initial rule sets
H A Dsource_line_resolver_base_types.h34 // In addition, Module is defined as a pure abstract class to be implemented by
118 class SourceLineResolverBase::Module { class in class:google_breakpad::SourceLineResolverBase
120 virtual ~Module() { };
/external/clang/lib/Serialization/
H A DGeneratePCH.cpp28 clang::Module *Module, StringRef isysroot,
32 : PP(PP), OutputFile(OutputFile), Module(Module), isysroot(isysroot.str()),
54 Writer.WriteAST(*SemaPtr, OutputFile, Module, isysroot,
26 PCHGenerator( const Preprocessor &PP, StringRef OutputFile, clang::Module *Module, StringRef isysroot, std::shared_ptr<PCHBuffer> Buffer, ArrayRef<llvm::IntrusiveRefCntPtr<ModuleFileExtension>> Extensions, bool AllowASTWithErrors, bool IncludeTimestamps) argument
/external/guice/extensions/servlet/test/com/google/inject/servlet/
H A DServletModuleTest.java49 Module module = new Module();
68 private static class Module extends ServletModule { class in class:ServletModuleTest
H A DExtensionSpiTest.java58 for(Element element : Elements.getElements(new Module())) {
69 Injector injector = Guice.createInjector(new Module());
142 private class Module extends ServletModule { class in class:ExtensionSpiTest
/external/llvm/bindings/python/llvm/
H A Dbit_reader.py7 from .core import Module namespace
22 m = Module(module)
/external/llvm/lib/DebugInfo/PDB/Raw/
H A DModStream.cpp1 //===- ModStream.cpp - PDB Module Info Stream Access ----------------------===//
22 ModStream::ModStream(const ModInfo &Module, argument
24 : Mod(Module), Stream(std::move(Stream)) {}
37 "Module has both C11 and C13 line info");
/external/llvm/lib/DebugInfo/Symbolize/
H A DSymbolizableObjectFile.h60 object::ObjectFile *Module; member in class:llvm::symbolize::SymbolizableObjectFile
/external/clang/include/clang/Lex/
H A DModuleLoader.h1 //===--- ModuleLoader.h - Module Loader Interface ---------------*- C++ -*-===//
17 #include "clang/Basic/Module.h"
26 class Module;
34 llvm::PointerIntPair<Module *, 1, bool> Storage;
39 ModuleLoadResult(Module *module, bool missingExpected)
42 operator Module *() const { return Storage.getPointer(); }
96 Module::NameVisibilityKind Visibility,
100 virtual void makeModuleVisible(Module *Mod,
101 Module::NameVisibilityKind Visibility,
/external/deqp/external/openglcts/scripts/
H A Dbuild_caselists.py37 class Module: class in inherits:
43 Module("dEQP-EGL", "EGL"),
44 Module("dEQP-GLES2", "GLES2"),
45 Module("dEQP-GLES3", "GLES3"),
46 Module("dEQP-GLES31", "GLES31"),
47 Module("KHR-GLES3", "GLES3"),
48 Module("KHR-GLES2", "GLES2"),
49 Module("KHR-GLES31", "GLES31"),
50 Module("KHR-GLES32", "GLES32"),
51 Module("KH
[all...]

Completed in 1702 milliseconds

123456