Searched defs:expr_type (Results 1 - 10 of 10) sorted by relevance

/external/selinux/libsepol/tests/
H A Dtest-linker-cond-map.c54 uint32_t expr_type; member in struct:test_cond_expr
70 CU_ASSERT(expr->expr_type == bools[i].expr_type);
103 bools[0].expr_type = COND_BOOL;
113 bools[0].expr_type = COND_BOOL;
131 bools[0].expr_type = COND_BOOL;
141 bools[0].expr_type = COND_BOOL;
153 bools[0].expr_type = COND_BOOL;
155 bools[1].expr_type = COND_BOOL;
157 bools[2].expr_type
[all...]
/external/adhd/cras/src/server/
H A Dcras_expr.h45 enum expr_type { enum
55 enum expr_type type;
/external/selinux/libsepol/include/sepol/policydb/
H A Dconditional.h56 uint32_t expr_type; member in struct:cond_expr
H A Dconstraint.h39 uint32_t expr_type; /* expression type */ member in struct:constraint_expr
/external/toybox/kconfig/
H A Dexpr.h34 enum expr_type { enum
44 enum expr_type type;
162 struct expr *expr_alloc_one(enum expr_type type, struct expr *ce);
163 struct expr *expr_alloc_two(enum expr_type type, struct expr *e1, struct expr *e2);
164 struct expr *expr_alloc_comp(enum expr_type type, struct symbol *s1, struct symbol *s2);
180 void expr_extract_eq(enum expr_type type, struct expr **ep, struct expr **ep1, struct expr **ep2);
181 struct expr *expr_trans_compare(struct expr *e, enum expr_type type, struct symbol *sym);
/external/selinux/checkpolicy/
H A Dpolicy_define.c3512 newe->expr_type = e->expr_type;
3515 if (newe->expr_type == CEXPR_NAMES) {
3561 switch (e->expr_type) {
3707 switch (e->expr_type) {
3788 uintptr_t define_cexpr(uint32_t expr_type, uintptr_t arg1, uintptr_t arg2) argument
3799 if (expr_type == CEXPR_NAMES) {
3812 expr->expr_type = expr_type;
3814 switch (expr_type) {
4034 define_cond_expr(uint32_t expr_type, void *arg1, void *arg2) argument
[all...]
/external/selinux/libsepol/cil/src/
H A Dcil_resolve_ast.c3156 int cil_resolve_expr(enum cil_flavor expr_type, struct cil_list *str_expr, struct cil_list **datum_expr, struct cil_tree_node *parent, void *extra_args) argument
3196 if (sym_index == CIL_SYM_TYPES && (expr_type == CIL_CONSTRAIN || expr_type == CIL_VALIDATETRANS)) {
3204 rc = cil_resolve_expr(expr_type, curr->data, &datum_sub_expr, parent, extra_args);
/external/python/cpython2/Python/
H A DPython-ast.c153 static PyTypeObject *expr_type; variable
739 expr_type = make_type("expr", &AST_type, NULL, 0);
740 if (!expr_type) return 0;
741 if (!add_attributes(expr_type, expr_attributes, 2)) return 0;
742 BoolOp_type = make_type("BoolOp", expr_type, BoolOp_fields, 2);
744 BinOp_type = make_type("BinOp", expr_type, BinOp_fields, 3);
746 UnaryOp_type = make_type("UnaryOp", expr_type, UnaryOp_fields, 2);
748 Lambda_type = make_type("Lambda", expr_type, Lambda_fields, 2);
750 IfExp_type = make_type("IfExp", expr_type, IfExp_fields, 3);
752 Dict_type = make_type("Dict", expr_type, Dict_field
[all...]
/external/python/cpython3/Python/
H A DPython-ast.c188 static PyTypeObject *expr_type; variable
922 expr_type = make_type("expr", &AST_type, NULL, 0);
923 if (!expr_type) return 0;
924 if (!add_attributes(expr_type, expr_attributes, 2)) return 0;
925 BoolOp_type = make_type("BoolOp", expr_type, BoolOp_fields, 2);
927 BinOp_type = make_type("BinOp", expr_type, BinOp_fields, 3);
929 UnaryOp_type = make_type("UnaryOp", expr_type, UnaryOp_fields, 2);
931 Lambda_type = make_type("Lambda", expr_type, Lambda_fields, 2);
933 IfExp_type = make_type("IfExp", expr_type, IfExp_fields, 3);
935 Dict_type = make_type("Dict", expr_type, Dict_field
[all...]
/external/valgrind/perf/
H A Dtinycc.c5403 static void expr_type(CType *type);
12726 expr_type(type);
13466 static void expr_type(CType *type)
13967 int v, int expr_type)
13974 switch(expr_type) {
14075 int size1, align1, expr_type;
14264 expr_type = EXPR_CONST;
14266 expr_type = EXPR_ANY;
14267 init_putv(type, sec, c, 0, expr_type);
13464 static void expr_type(CType *type) function
13964 init_putv(CType *type, Section *sec, unsigned long c, int v, int expr_type) argument
14073 int size1, align1, expr_type; local

Completed in 412 milliseconds