Searched refs:opnds (Results 1 - 8 of 8) sorted by relevance

/external/valgrind/memcheck/tests/vbit-test/
H A Dqernary.c36 const opnd_t *opnd1 = &data->opnds[0];
37 const opnd_t *opnd2 = &data->opnds[1];
38 const opnd_t *opnd3 = &data->opnds[2];
39 const opnd_t *opnd4 = &data->opnds[3];
67 opnd_t *opnds = data->opnds; local
77 num_input_bits = bitsof_irtype(opnds[i].type);
79 opnds[0].vbits = defined_vbits(bitsof_irtype(opnds[0].type));
80 opnds[
[all...]
H A Dternary.c36 const opnd_t *opnd1 = &data->opnds[0];
37 const opnd_t *opnd2 = &data->opnds[1];
38 const opnd_t *opnd3 = &data->opnds[2];
85 opnd_t *opnds = data->opnds; local
92 num_input_bits = bitsof_irtype(opnds[i].type);
94 opnds[0].vbits = defined_vbits(bitsof_irtype(opnds[0].type));
95 opnds[1].vbits = defined_vbits(bitsof_irtype(opnds[
[all...]
H A Dbinary.c76 const opnd_t *opnd1 = &data->opnds[0];
77 const opnd_t *opnd2 = &data->opnds[1];
362 opnd_t *opnds = data->opnds; local
367 for (unsigned amount = 0; amount < bitsof_irtype(opnds[0].type); ++amount) {
368 opnds[1].value.u8 = amount;
371 num_input_bits = bitsof_irtype(opnds[0].type);
374 opnds[0].vbits = onehot_vbits(i, bitsof_irtype(opnds[0].type));
375 opnds[
443 opnd_t *opnds = data->opnds; local
517 opnd_t *opnds = data->opnds; local
599 opnd_t *opnds = data->opnds; local
[all...]
H A Dvalgrind.c40 cb.opnd1 = (HWord)&data->opnds[0].value;
41 cb.opnd2 = (HWord)&data->opnds[1].value;
42 cb.opnd3 = (HWord)&data->opnds[2].value;
43 cb.opnd4 = (HWord)&data->opnds[3].value;
45 cb.t_opnd1 = data->opnds[0].type;
46 cb.t_opnd2 = data->opnds[1].type;
47 cb.t_opnd3 = data->opnds[2].type;
48 cb.t_opnd4 = data->opnds[3].type;
125 valgrind_set_vbits(&data->opnds[i]);
128 print_opnd(stdout, &data->opnds[
[all...]
H A Dmain.c51 data->opnds[0].type = t2;
52 data->opnds[1].type = t3;
53 data->opnds[2].type = t4;
54 data->opnds[3].type = Ity_INVALID;
56 data->opnds[0].type = t1;
57 data->opnds[1].type = t2;
58 data->opnds[2].type = t3;
59 data->opnds[3].type = t4;
H A Dunary.c36 const opnd_t *opnd = &data->opnds[0];
114 num_input_bits = bitsof_irtype(data->opnds[0].type);
117 data->opnds[0].vbits = onehot_vbits(bitpos, num_input_bits);
H A Dvtest.h204 opnd_t opnds[MAX_OPERANDS]; member in struct:__anon29650
H A Dutil.c69 print_opnd(stderr, &data->opnds[i]);

Completed in 423 milliseconds