AArch64GenAsmWriter.inc revision bb0744df5da14aa8e288566609df3d71f8299f8c
1/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|*                                                                            *|
3|*Assembly Writer Source Fragment                                             *|
4|*                                                                            *|
5|* Automatically generated file, do not edit!                                 *|
6|*                                                                            *|
7\*===----------------------------------------------------------------------===*/
8
9/* Capstone Disassembly Engine, http://www.capstone-engine.org */
10/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
11
12/// printInstruction - This method is automatically generated by tablegen
13/// from the instruction set description.
14static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI)
15{
16  static const uint32_t OpInfo[] = {
17    0U,	// PHI
18    0U,	// INLINEASM
19    0U,	// PROLOG_LABEL
20    0U,	// EH_LABEL
21    0U,	// GC_LABEL
22    0U,	// KILL
23    0U,	// EXTRACT_SUBREG
24    0U,	// INSERT_SUBREG
25    0U,	// IMPLICIT_DEF
26    0U,	// SUBREG_TO_REG
27    0U,	// COPY_TO_REGCLASS
28    2780U,	// DBG_VALUE
29    0U,	// REG_SEQUENCE
30    0U,	// COPY
31    2773U,	// BUNDLE
32    2790U,	// LIFETIME_START
33    2760U,	// LIFETIME_END
34    0U,	// STACKMAP
35    0U,	// PATCHPOINT
36    6229U,	// ABS16b
37    1074796629U,	// ABS2d
38    2149587029U,	// ABS2s
39    3224377429U,	// ABS4h
40    4200533U,	// ABS4s
41    1078990933U,	// ABS8b
42    2153781333U,	// ABS8h
43    3262130261U,	// ABSdd
44    40904813U,	// ADCSwww
45    40904813U,	// ADCSxxx
46    40903414U,	// ADCwww
47    40903414U,	// ADCxxx
48    1140855066U,	// ADDHN2vvv_16b8h
49    2218791194U,	// ADDHN2vvv_4s2d
50    3294630170U,	// ADDHN2vvv_8h4s
51    2149586505U,	// ADDHNvvv_2s2d
52    3224376905U,	// ADDHNvvv_4h4s
53    1078990409U,	// ADDHNvvv_8b8h
54    5863U,	// ADDP_16B
55    2148538087U,	// ADDP_2D
56    1075844839U,	// ADDP_2S
57    2150635239U,	// ADDP_4H
58    3225425639U,	// ADDP_4S
59    3226474215U,	// ADDP_8B
60    1080039143U,	// ADDP_8H
61    1081091815U,	// ADDPvv_D_2D
62    40904825U,	// ADDSwww_asr
63    40904825U,	// ADDSwww_lsl
64    40904825U,	// ADDSwww_lsr
65    40904825U,	// ADDSwww_sxtb
66    40904825U,	// ADDSwww_sxth
67    40904825U,	// ADDSwww_sxtw
68    40904825U,	// ADDSwww_sxtx
69    40904825U,	// ADDSwww_uxtb
70    40904825U,	// ADDSwww_uxth
71    40904825U,	// ADDSwww_uxtw
72    40904825U,	// ADDSwww_uxtx
73    40904825U,	// ADDSxxw_sxtb
74    40904825U,	// ADDSxxw_sxth
75    40904825U,	// ADDSxxw_sxtw
76    40904825U,	// ADDSxxw_uxtb
77    40904825U,	// ADDSxxw_uxth
78    40904825U,	// ADDSxxw_uxtw
79    40904825U,	// ADDSxxx_asr
80    40904825U,	// ADDSxxx_lsl
81    40904825U,	// ADDSxxx_lsr
82    40904825U,	// ADDSxxx_sxtx
83    40904825U,	// ADDSxxx_uxtx
84    7350616U,	// ADDV_1b16b
85    1081092440U,	// ADDV_1b8b
86    3228576088U,	// ADDV_1h4h
87    2154834264U,	// ADDV_1h8h
88    7350616U,	// ADDV_1s4s
89    40903475U,	// ADDddd
90    4915U,	// ADDvvv_16B
91    2148537139U,	// ADDvvv_2D
92    1075843891U,	// ADDvvv_2S
93    2150634291U,	// ADDvvv_4H
94    3225424691U,	// ADDvvv_4S
95    3226473267U,	// ADDvvv_8B
96    1080038195U,	// ADDvvv_8H
97    40904825U,	// ADDwwi_lsl0_S
98    108014267U,	// ADDwwi_lsl0_cmp
99    40903475U,	// ADDwwi_lsl0_s
100    40904825U,	// ADDwwi_lsl12_S
101    141568699U,	// ADDwwi_lsl12_cmp
102    40903475U,	// ADDwwi_lsl12_s
103    40903475U,	// ADDwww_asr
104    40903475U,	// ADDwww_lsl
105    40903475U,	// ADDwww_lsr
106    40903475U,	// ADDwww_sxtb
107    40903475U,	// ADDwww_sxth
108    40903475U,	// ADDwww_sxtw
109    40903475U,	// ADDwww_sxtx
110    40903475U,	// ADDwww_uxtb
111    40903475U,	// ADDwww_uxth
112    40903475U,	// ADDwww_uxtw
113    40903475U,	// ADDwww_uxtx
114    40904825U,	// ADDxxi_lsl0_S
115    108014267U,	// ADDxxi_lsl0_cmp
116    40903475U,	// ADDxxi_lsl0_s
117    40904825U,	// ADDxxi_lsl12_S
118    141568699U,	// ADDxxi_lsl12_cmp
119    40903475U,	// ADDxxi_lsl12_s
120    40903475U,	// ADDxxw_sxtb
121    40903475U,	// ADDxxw_sxth
122    40903475U,	// ADDxxw_sxtw
123    40903475U,	// ADDxxw_uxtb
124    40903475U,	// ADDxxw_uxth
125    40903475U,	// ADDxxw_uxtw
126    40903475U,	// ADDxxx_asr
127    40903475U,	// ADDxxx_lsl
128    40903475U,	// ADDxxx_lsr
129    40903475U,	// ADDxxx_sxtx
130    40903475U,	// ADDxxx_uxtx
131    0U,	// ADJCALLSTACKDOWN
132    0U,	// ADJCALLSTACKUP
133    175122258U,	// ADRPxi
134    208676802U,	// ADRxi
135    67113850U,	// AESD
136    67113908U,	// AESE
137    4864U,	// AESIMC
138    4872U,	// AESMC
139    40904831U,	// ANDSwwi
140    40904831U,	// ANDSwww_asr
141    40904831U,	// ANDSwww_lsl
142    40904831U,	// ANDSwww_lsr
143    40904831U,	// ANDSwww_ror
144    40904831U,	// ANDSxxi
145    40904831U,	// ANDSxxx_asr
146    40904831U,	// ANDSxxx_lsl
147    40904831U,	// ANDSxxx_lsr
148    40904831U,	// ANDSxxx_ror
149    4981U,	// ANDvvv_16B
150    3226473333U,	// ANDvvv_8B
151    40903541U,	// ANDwwi
152    40903541U,	// ANDwww_asr
153    40903541U,	// ANDwww_lsl
154    40903541U,	// ANDwww_lsr
155    40903541U,	// ANDwww_ror
156    40903541U,	// ANDxxi
157    40903541U,	// ANDxxx_asr
158    40903541U,	// ANDxxx_lsl
159    40903541U,	// ANDxxx_lsr
160    40903541U,	// ANDxxx_ror
161    40904704U,	// ASRVwww
162    40904704U,	// ASRVxxx
163    40904704U,	// ASRwwi
164    40904704U,	// ASRxxi
165    0U,	// ATOMIC_CMP_SWAP_I16
166    0U,	// ATOMIC_CMP_SWAP_I32
167    0U,	// ATOMIC_CMP_SWAP_I64
168    0U,	// ATOMIC_CMP_SWAP_I8
169    0U,	// ATOMIC_LOAD_ADD_I16
170    0U,	// ATOMIC_LOAD_ADD_I32
171    0U,	// ATOMIC_LOAD_ADD_I64
172    0U,	// ATOMIC_LOAD_ADD_I8
173    0U,	// ATOMIC_LOAD_AND_I16
174    0U,	// ATOMIC_LOAD_AND_I32
175    0U,	// ATOMIC_LOAD_AND_I64
176    0U,	// ATOMIC_LOAD_AND_I8
177    0U,	// ATOMIC_LOAD_MAX_I16
178    0U,	// ATOMIC_LOAD_MAX_I32
179    0U,	// ATOMIC_LOAD_MAX_I64
180    0U,	// ATOMIC_LOAD_MAX_I8
181    0U,	// ATOMIC_LOAD_MIN_I16
182    0U,	// ATOMIC_LOAD_MIN_I32
183    0U,	// ATOMIC_LOAD_MIN_I64
184    0U,	// ATOMIC_LOAD_MIN_I8
185    0U,	// ATOMIC_LOAD_NAND_I16
186    0U,	// ATOMIC_LOAD_NAND_I32
187    0U,	// ATOMIC_LOAD_NAND_I64
188    0U,	// ATOMIC_LOAD_NAND_I8
189    0U,	// ATOMIC_LOAD_OR_I16
190    0U,	// ATOMIC_LOAD_OR_I32
191    0U,	// ATOMIC_LOAD_OR_I64
192    0U,	// ATOMIC_LOAD_OR_I8
193    0U,	// ATOMIC_LOAD_SUB_I16
194    0U,	// ATOMIC_LOAD_SUB_I32
195    0U,	// ATOMIC_LOAD_SUB_I64
196    0U,	// ATOMIC_LOAD_SUB_I8
197    0U,	// ATOMIC_LOAD_UMAX_I16
198    0U,	// ATOMIC_LOAD_UMAX_I32
199    0U,	// ATOMIC_LOAD_UMAX_I64
200    0U,	// ATOMIC_LOAD_UMAX_I8
201    0U,	// ATOMIC_LOAD_UMIN_I16
202    0U,	// ATOMIC_LOAD_UMIN_I32
203    0U,	// ATOMIC_LOAD_UMIN_I64
204    0U,	// ATOMIC_LOAD_UMIN_I8
205    0U,	// ATOMIC_LOAD_XOR_I16
206    0U,	// ATOMIC_LOAD_XOR_I32
207    0U,	// ATOMIC_LOAD_XOR_I64
208    0U,	// ATOMIC_LOAD_XOR_I8
209    0U,	// ATOMIC_SWAP_I16
210    0U,	// ATOMIC_SWAP_I32
211    0U,	// ATOMIC_SWAP_I64
212    0U,	// ATOMIC_SWAP_I8
213    14550U,	// ATix
214    242230450U,	// BFIwwii
215    242230450U,	// BFIxxii
216    242230800U,	// BFMwwii
217    242230800U,	// BFMxxii
218    242230681U,	// BFXILwwii
219    242230681U,	// BFXILxxii
220    40904819U,	// BICSwww_asr
221    40904819U,	// BICSwww_lsl
222    40904819U,	// BICSwww_lsr
223    40904819U,	// BICSwww_ror
224    40904819U,	// BICSxxx_asr
225    40904819U,	// BICSxxx_lsl
226    40904819U,	// BICSxxx_lsr
227    40904819U,	// BICSxxx_ror
228    270537467U,	// BICvi_lsl_2S
229    1345327867U,	// BICvi_lsl_4H
230    272634619U,	// BICvi_lsl_4S
231    1348473595U,	// BICvi_lsl_8H
232    4859U,	// BICvvv_16B
233    3226473211U,	// BICvvv_8B
234    40903419U,	// BICwww_asr
235    40903419U,	// BICwww_lsl
236    40903419U,	// BICwww_lsr
237    40903419U,	// BICwww_ror
238    40903419U,	// BICxxx_asr
239    40903419U,	// BICxxx_lsl
240    40903419U,	// BICxxx_lsr
241    40903419U,	// BICxxx_ror
242    67113932U,	// BIFvvv_16B
243    3293582284U,	// BIFvvv_8B
244    67115246U,	// BITvvv_16B
245    3293583598U,	// BITvvv_8B
246    8398822U,	// BLRx
247    17676U,	// BLimm
248    8398043U,	// BRKi
249    8398782U,	// BRx
250    67114444U,	// BSLvvv_16B
251    3293582796U,	// BSLvvv_8B
252    23237U,	// Bcc
253    16935U,	// Bimm
254    309340826U,	// CBNZw
255    309340826U,	// CBNZx
256    309340797U,	// CBZw
257    309340797U,	// CBZx
258    40904290U,	// CCMNwi
259    40904290U,	// CCMNww
260    40904290U,	// CCMNxi
261    40904290U,	// CCMNxx
262    40904467U,	// CCMPwi
263    40904467U,	// CCMPww
264    40904467U,	// CCMPxi
265    40904467U,	// CCMPxx
266    8399443U,	// CLREXi
267    6283U,	// CLS16b
268    2149587083U,	// CLS2s
269    3224377483U,	// CLS4h
270    4200587U,	// CLS4s
271    1078990987U,	// CLS8b
272    2153781387U,	// CLS8h
273    3262130315U,	// CLSww
274    3262130315U,	// CLSxx
275    6805U,	// CLZ16b
276    2149587605U,	// CLZ2s
277    3224378005U,	// CLZ4h
278    4201109U,	// CLZ4s
279    1078991509U,	// CLZ8b
280    2153781909U,	// CLZ8h
281    3262130837U,	// CLZww
282    3262130837U,	// CLZxx
283    40904602U,	// CMEQddd
284    40904602U,	// CMEQddi
285    6042U,	// CMEQvvi_16B
286    2148538266U,	// CMEQvvi_2D
287    1075845018U,	// CMEQvvi_2S
288    2150635418U,	// CMEQvvi_4H
289    3225425818U,	// CMEQvvi_4S
290    3226474394U,	// CMEQvvi_8B
291    1080039322U,	// CMEQvvi_8H
292    6042U,	// CMEQvvv_16B
293    2148538266U,	// CMEQvvv_2D
294    1075845018U,	// CMEQvvv_2S
295    2150635418U,	// CMEQvvv_4H
296    3225425818U,	// CMEQvvv_4S
297    3226474394U,	// CMEQvvv_8B
298    1080039322U,	// CMEQvvv_8H
299    40903560U,	// CMGEddd
300    40903560U,	// CMGEddi
301    5000U,	// CMGEvvi_16B
302    2148537224U,	// CMGEvvi_2D
303    1075843976U,	// CMGEvvi_2S
304    2150634376U,	// CMGEvvi_4H
305    3225424776U,	// CMGEvvi_4S
306    3226473352U,	// CMGEvvi_8B
307    1080038280U,	// CMGEvvi_8H
308    5000U,	// CMGEvvv_16B
309    2148537224U,	// CMGEvvv_2D
310    1075843976U,	// CMGEvvv_2S
311    2150634376U,	// CMGEvvv_4H
312    3225424776U,	// CMGEvvv_4S
313    3226473352U,	// CMGEvvv_8B
314    1080038280U,	// CMGEvvv_8H
315    40904935U,	// CMGTddd
316    40904935U,	// CMGTddi
317    6375U,	// CMGTvvi_16B
318    2148538599U,	// CMGTvvi_2D
319    1075845351U,	// CMGTvvi_2S
320    2150635751U,	// CMGTvvi_4H
321    3225426151U,	// CMGTvvi_4S
322    3226474727U,	// CMGTvvi_8B
323    1080039655U,	// CMGTvvi_8H
324    6375U,	// CMGTvvv_16B
325    2148538599U,	// CMGTvvv_2D
326    1075845351U,	// CMGTvvv_2S
327    2150635751U,	// CMGTvvv_4H
328    3225426151U,	// CMGTvvv_4S
329    3226474727U,	// CMGTvvv_8B
330    1080039655U,	// CMGTvvv_8H
331    40903863U,	// CMHIddd
332    5303U,	// CMHIvvv_16B
333    2148537527U,	// CMHIvvv_2D
334    1075844279U,	// CMHIvvv_2S
335    2150634679U,	// CMHIvvv_4H
336    3225425079U,	// CMHIvvv_4S
337    3226473655U,	// CMHIvvv_8B
338    1080038583U,	// CMHIvvv_8H
339    40904837U,	// CMHSddd
340    6277U,	// CMHSvvv_16B
341    2148538501U,	// CMHSvvv_2D
342    1075845253U,	// CMHSvvv_2S
343    2150635653U,	// CMHSvvv_4H
344    3225426053U,	// CMHSvvv_4S
345    3226474629U,	// CMHSvvv_8B
346    1080039557U,	// CMHSvvv_8H
347    40903567U,	// CMLEddi
348    5007U,	// CMLEvvi_16B
349    2148537231U,	// CMLEvvi_2D
350    1075843983U,	// CMLEvvi_2S
351    2150634383U,	// CMLEvvi_4H
352    3225424783U,	// CMLEvvi_4S
353    3226473359U,	// CMLEvvi_8B
354    1080038287U,	// CMLEvvi_8H
355    40904953U,	// CMLTddi
356    6393U,	// CMLTvvi_16B
357    2148538617U,	// CMLTvvi_2D
358    1075845369U,	// CMLTvvi_2S
359    2150635769U,	// CMLTvvi_4H
360    3225426169U,	// CMLTvvi_4S
361    3226474745U,	// CMLTvvi_8B
362    1080039673U,	// CMLTvvi_8H
363    40904291U,	// CMNww_asr
364    40904291U,	// CMNww_lsl
365    40904291U,	// CMNww_lsr
366    40904291U,	// CMNww_sxtb
367    40904291U,	// CMNww_sxth
368    40904291U,	// CMNww_sxtw
369    40904291U,	// CMNww_sxtx
370    40904291U,	// CMNww_uxtb
371    40904291U,	// CMNww_uxth
372    40904291U,	// CMNww_uxtw
373    40904291U,	// CMNww_uxtx
374    40904291U,	// CMNxw_sxtb
375    40904291U,	// CMNxw_sxth
376    40904291U,	// CMNxw_sxtw
377    40904291U,	// CMNxw_uxtb
378    40904291U,	// CMNxw_uxth
379    40904291U,	// CMNxw_uxtw
380    40904291U,	// CMNxx_asr
381    40904291U,	// CMNxx_lsl
382    40904291U,	// CMNxx_lsr
383    40904291U,	// CMNxx_sxtx
384    40904291U,	// CMNxx_uxtx
385    40904468U,	// CMPww_asr
386    40904468U,	// CMPww_lsl
387    40904468U,	// CMPww_lsr
388    40904468U,	// CMPww_sxtb
389    40904468U,	// CMPww_sxth
390    40904468U,	// CMPww_sxtw
391    40904468U,	// CMPww_sxtx
392    40904468U,	// CMPww_uxtb
393    40904468U,	// CMPww_uxth
394    40904468U,	// CMPww_uxtw
395    40904468U,	// CMPww_uxtx
396    40904468U,	// CMPxw_sxtb
397    40904468U,	// CMPxw_sxth
398    40904468U,	// CMPxw_sxtw
399    40904468U,	// CMPxw_uxtb
400    40904468U,	// CMPxw_uxth
401    40904468U,	// CMPxw_uxtw
402    40904468U,	// CMPxx_asr
403    40904468U,	// CMPxx_lsl
404    40904468U,	// CMPxx_lsr
405    40904468U,	// CMPxx_sxtx
406    40904468U,	// CMPxx_uxtx
407    40904982U,	// CMTSTddd
408    6422U,	// CMTSTvvv_16B
409    2148538646U,	// CMTSTvvv_2D
410    1075845398U,	// CMTSTvvv_2S
411    2150635798U,	// CMTSTvvv_4H
412    3225426198U,	// CMTSTvvv_4S
413    3226474774U,	// CMTSTvvv_8B
414    1080039702U,	// CMTSTvvv_8H
415    6399U,	// CNT16b
416    1078991103U,	// CNT8b
417    40903202U,	// CRC32B_www
418    40903210U,	// CRC32CB_www
419    40903691U,	// CRC32CH_www
420    40905200U,	// CRC32CW_www
421    40905290U,	// CRC32CX_wwx
422    40903674U,	// CRC32H_www
423    40905178U,	// CRC32W_www
424    40905259U,	// CRC32X_wwx
425    40904027U,	// CSELwwwc
426    40904027U,	// CSELxxxc
427    40903439U,	// CSINCwwwc
428    40903439U,	// CSINCxxxc
429    40905132U,	// CSINVwwwc
430    40905132U,	// CSINVxxxc
431    40903660U,	// CSNEGwwwc
432    40903660U,	// CSNEGxxxc
433    8396844U,	// DCPS1i
434    8397209U,	// DCPS2i
435    8397258U,	// DCPS3i
436    25335U,	// DCix
437    29235U,	// DMBi
438    2805U,	// DRPS
439    29324U,	// DSBi
440    3254785893U,	// DUP16b
441    3255834469U,	// DUP2d
442    3256883045U,	// DUP2s
443    3257931621U,	// DUP4h
444    3258980197U,	// DUP4s
445    3260028773U,	// DUP8b
446    3261077349U,	// DUP8h
447    2147489637U,	// DUPELT16b
448    3222280037U,	// DUPELT2d
449    2103141U,	// DUPELT2s
450    1076893541U,	// DUPELT4h
451    4200293U,	// DUPELT4s
452    2152732517U,	// DUPELT8b
453    1080039269U,	// DUPELT8h
454    2154833765U,	// DUPbv_B
455    3228575589U,	// DUPdv_D
456    1081091941U,	// DUPhv_H
457    7350117U,	// DUPsv_S
458    40904296U,	// EONwww_asr
459    40904296U,	// EONwww_lsl
460    40904296U,	// EONwww_lsr
461    40904296U,	// EONwww_ror
462    40904296U,	// EONxxx_asr
463    40904296U,	// EONxxx_lsl
464    40904296U,	// EONxxx_lsr
465    40904296U,	// EONxxx_ror
466    6129U,	// EORvvv_16B
467    3226474481U,	// EORvvv_8B
468    40904689U,	// EORwwi
469    40904689U,	// EORwww_asr
470    40904689U,	// EORwww_lsl
471    40904689U,	// EORwww_lsr
472    40904689U,	// EORwww_ror
473    40904689U,	// EORxxi
474    40904689U,	// EORxxx_asr
475    40904689U,	// EORxxx_lsl
476    40904689U,	// EORxxx_lsr
477    40904689U,	// EORxxx_ror
478    2810U,	// ERET
479    40904736U,	// EXTRwwwi
480    40904736U,	// EXTRxxxi
481    6435U,	// EXTvvvi_16b
482    3226474787U,	// EXTvvvi_8b
483    0U,	// F128CSEL
484    40903456U,	// FABDddd
485    40903456U,	// FABDsss
486    2148537120U,	// FABDvvv_2D
487    1075843872U,	// FABDvvv_2S
488    3225424672U,	// FABDvvv_4S
489    1074796628U,	// FABS2d
490    2149587028U,	// FABS2s
491    4200532U,	// FABS4s
492    3262130260U,	// FABSdd
493    3262130260U,	// FABSss
494    40903552U,	// FACGEddd
495    40903552U,	// FACGEsss
496    2148537216U,	// FACGEvvv_2D
497    1075843968U,	// FACGEvvv_2S
498    3225424768U,	// FACGEvvv_4S
499    40904927U,	// FACGTddd
500    40904927U,	// FACGTsss
501    2148538591U,	// FACGTvvv_2D
502    1075845343U,	// FACGTvvv_2S
503    3225426143U,	// FACGTvvv_4S
504    2148538086U,	// FADDP_2D
505    1075844838U,	// FADDP_2S
506    3225425638U,	// FADDP_4S
507    1081091814U,	// FADDPvv_D_2D
508    2154833638U,	// FADDPvv_S_2S
509    40903474U,	// FADDddd
510    40903474U,	// FADDsss
511    2148537138U,	// FADDvvv_2D
512    1075843890U,	// FADDvvv_2S
513    3225424690U,	// FADDvvv_4S
514    40903589U,	// FCCMPEdd
515    40903589U,	// FCCMPEss
516    40904466U,	// FCCMPdd
517    40904466U,	// FCCMPss
518    40904601U,	// FCMEQZddi
519    40904601U,	// FCMEQZssi
520    40904601U,	// FCMEQddd
521    40904601U,	// FCMEQsss
522    2148538265U,	// FCMEQvvi_2D
523    1075845017U,	// FCMEQvvi_2S
524    3225425817U,	// FCMEQvvi_4S
525    2148538265U,	// FCMEQvvv_2D
526    1075845017U,	// FCMEQvvv_2S
527    3225425817U,	// FCMEQvvv_4S
528    40903559U,	// FCMGEZddi
529    40903559U,	// FCMGEZssi
530    40903559U,	// FCMGEddd
531    40903559U,	// FCMGEsss
532    2148537223U,	// FCMGEvvi_2D
533    1075843975U,	// FCMGEvvi_2S
534    3225424775U,	// FCMGEvvi_4S
535    2148537223U,	// FCMGEvvv_2D
536    1075843975U,	// FCMGEvvv_2S
537    3225424775U,	// FCMGEvvv_4S
538    40904934U,	// FCMGTZddi
539    40904934U,	// FCMGTZssi
540    40904934U,	// FCMGTddd
541    40904934U,	// FCMGTsss
542    2148538598U,	// FCMGTvvi_2D
543    1075845350U,	// FCMGTvvi_2S
544    3225426150U,	// FCMGTvvi_4S
545    2148538598U,	// FCMGTvvv_2D
546    1075845350U,	// FCMGTvvv_2S
547    3225426150U,	// FCMGTvvv_4S
548    40903566U,	// FCMLEZddi
549    40903566U,	// FCMLEZssi
550    2148537230U,	// FCMLEvvi_2D
551    1075843982U,	// FCMLEvvi_2S
552    3225424782U,	// FCMLEvvi_4S
553    40904952U,	// FCMLTZddi
554    40904952U,	// FCMLTZssi
555    2148538616U,	// FCMLTvvi_2D
556    1075845368U,	// FCMLTvvi_2S
557    3225426168U,	// FCMLTvvi_4S
558    3262129945U,	// FCMPdd_quiet
559    3262129069U,	// FCMPdd_sig
560    342894361U,	// FCMPdi_quiet
561    342893485U,	// FCMPdi_sig
562    342894361U,	// FCMPsi_quiet
563    342893485U,	// FCMPsi_sig
564    3262129945U,	// FCMPss_quiet
565    3262129069U,	// FCMPss_sig
566    40904026U,	// FCSELdddc
567    40904026U,	// FCSELsssc
568    1074796620U,	// FCVTAS_2d
569    2149587020U,	// FCVTAS_2s
570    4200524U,	// FCVTAS_4s
571    3262130252U,	// FCVTASdd
572    3262130252U,	// FCVTASss
573    3262130252U,	// FCVTASwd
574    3262130252U,	// FCVTASws
575    3262130252U,	// FCVTASxd
576    3262130252U,	// FCVTASxs
577    1074796840U,	// FCVTAU_2d
578    2149587240U,	// FCVTAU_2s
579    4200744U,	// FCVTAU_4s
580    3262130472U,	// FCVTAUdd
581    3262130472U,	// FCVTAUss
582    3262130472U,	// FCVTAUwd
583    3262130472U,	// FCVTAUws
584    3262130472U,	// FCVTAUxd
585    3262130472U,	// FCVTAUxs
586    2148537838U,	// FCVTL2s2d
587    3225425390U,	// FCVTL4h4s
588    1052936U,	// FCVTL4s2d
589    2151682312U,	// FCVTL8h4s
590    1074796694U,	// FCVTMS_2d
591    2149587094U,	// FCVTMS_2s
592    4200598U,	// FCVTMS_4s
593    3262130326U,	// FCVTMSdd
594    3262130326U,	// FCVTMSss
595    3262130326U,	// FCVTMSwd
596    3262130326U,	// FCVTMSws
597    3262130326U,	// FCVTMSxd
598    3262130326U,	// FCVTMSxs
599    1074796856U,	// FCVTMU_2d
600    2149587256U,	// FCVTMU_2s
601    4200760U,	// FCVTMU_4s
602    3262130488U,	// FCVTMUdd
603    3262130488U,	// FCVTMUss
604    3262130488U,	// FCVTMUwd
605    3262130488U,	// FCVTMUws
606    3262130488U,	// FCVTMUxd
607    3262130488U,	// FCVTMUxs
608    1075844764U,	// FCVTN2d2s
609    1145049422U,	// FCVTN2d4s
610    3151516U,	// FCVTN4s4h
611    73404750U,	// FCVTN4s8h
612    1074796707U,	// FCVTNS_2d
613    2149587107U,	// FCVTNS_2s
614    4200611U,	// FCVTNS_4s
615    3262130339U,	// FCVTNSdd
616    3262130339U,	// FCVTNSss
617    3262130339U,	// FCVTNSwd
618    3262130339U,	// FCVTNSws
619    3262130339U,	// FCVTNSxd
620    3262130339U,	// FCVTNSxs
621    1074796864U,	// FCVTNU_2d
622    2149587264U,	// FCVTNU_2s
623    4200768U,	// FCVTNU_4s
624    3262130496U,	// FCVTNUdd
625    3262130496U,	// FCVTNUss
626    3262130496U,	// FCVTNUwd
627    3262130496U,	// FCVTNUws
628    3262130496U,	// FCVTNUxd
629    3262130496U,	// FCVTNUxs
630    1074796723U,	// FCVTPS_2d
631    2149587123U,	// FCVTPS_2s
632    4200627U,	// FCVTPS_4s
633    3262130355U,	// FCVTPSdd
634    3262130355U,	// FCVTPSss
635    3262130355U,	// FCVTPSwd
636    3262130355U,	// FCVTPSws
637    3262130355U,	// FCVTPSxd
638    3262130355U,	// FCVTPSxs
639    1074796872U,	// FCVTPU_2d
640    2149587272U,	// FCVTPU_2s
641    4200776U,	// FCVTPU_4s
642    3262130504U,	// FCVTPUdd
643    3262130504U,	// FCVTPUss
644    3262130504U,	// FCVTPUwd
645    3262130504U,	// FCVTPUws
646    3262130504U,	// FCVTPUxd
647    3262130504U,	// FCVTPUxs
648    3262129879U,	// FCVTXN
649    1075844823U,	// FCVTXN2d2s
650    1145049476U,	// FCVTXN2d4s
651    1074796750U,	// FCVTZS_2d
652    2149587150U,	// FCVTZS_2s
653    4200654U,	// FCVTZS_4s
654    40904910U,	// FCVTZS_Nddi
655    40904910U,	// FCVTZS_Nssi
656    3262130382U,	// FCVTZSdd
657    3262130382U,	// FCVTZSss
658    3262130382U,	// FCVTZSwd
659    40904910U,	// FCVTZSwdi
660    3262130382U,	// FCVTZSws
661    40904910U,	// FCVTZSwsi
662    3262130382U,	// FCVTZSxd
663    40904910U,	// FCVTZSxdi
664    3262130382U,	// FCVTZSxs
665    40904910U,	// FCVTZSxsi
666    1074796880U,	// FCVTZU_2d
667    2149587280U,	// FCVTZU_2s
668    4200784U,	// FCVTZU_4s
669    40905040U,	// FCVTZU_Nddi
670    40905040U,	// FCVTZU_Nssi
671    3262130512U,	// FCVTZUdd
672    3262130512U,	// FCVTZUss
673    3262130512U,	// FCVTZUwd
674    40905040U,	// FCVTZUwdi
675    3262130512U,	// FCVTZUws
676    40905040U,	// FCVTZUwsi
677    3262130512U,	// FCVTZUxd
678    40905040U,	// FCVTZUxdi
679    3262130512U,	// FCVTZUxs
680    40905040U,	// FCVTZUxsi
681    3262130461U,	// FCVTdh
682    3262130461U,	// FCVTds
683    3262130461U,	// FCVThd
684    3262130461U,	// FCVThs
685    3262130461U,	// FCVTsd
686    3262130461U,	// FCVTsh
687    40905059U,	// FDIVddd
688    40905059U,	// FDIVsss
689    2148538723U,	// FDIVvvv_2D
690    1075845475U,	// FDIVvvv_2S
691    3225426275U,	// FDIVvvv_4S
692    40903510U,	// FMADDdddd
693    40903510U,	// FMADDssss
694    1081091880U,	// FMAXNMPvv_D_2D
695    2154833704U,	// FMAXNMPvv_S_2S
696    2148538152U,	// FMAXNMPvvv_2D
697    1075844904U,	// FMAXNMPvvv_2S
698    3225425704U,	// FMAXNMPvvv_4S
699    7350670U,	// FMAXNMV_1s4s
700    40904233U,	// FMAXNMddd
701    40904233U,	// FMAXNMsss
702    2148537897U,	// FMAXNMvvv_2D
703    1075844649U,	// FMAXNMvvv_2S
704    3225425449U,	// FMAXNMvvv_4S
705    1081091953U,	// FMAXPvv_D_2D
706    2154833777U,	// FMAXPvv_S_2S
707    2148538225U,	// FMAXPvvv_2D
708    1075844977U,	// FMAXPvvv_2S
709    3225425777U,	// FMAXPvvv_4S
710    7350725U,	// FMAXV_1s4s
711    40905267U,	// FMAXddd
712    40905267U,	// FMAXsss
713    2148538931U,	// FMAXvvv_2D
714    1075845683U,	// FMAXvvv_2S
715    3225426483U,	// FMAXvvv_4S
716    1081091871U,	// FMINNMPvv_D_2D
717    2154833695U,	// FMINNMPvv_S_2S
718    2148538143U,	// FMINNMPvvv_2D
719    1075844895U,	// FMINNMPvvv_2S
720    3225425695U,	// FMINNMPvvv_4S
721    7350661U,	// FMINNMV_1s4s
722    40904225U,	// FMINNMddd
723    40904225U,	// FMINNMsss
724    2148537889U,	// FMINNMvvv_2D
725    1075844641U,	// FMINNMvvv_2S
726    3225425441U,	// FMINNMvvv_4S
727    1081091895U,	// FMINPvv_D_2D
728    2154833719U,	// FMINPvv_S_2S
729    2148538167U,	// FMINPvvv_2D
730    1075844919U,	// FMINPvvv_2S
731    3225425719U,	// FMINPvvv_4S
732    7350679U,	// FMINV_1s4s
733    40904272U,	// FMINddd
734    40904272U,	// FMINsss
735    2148537936U,	// FMINvvv_2D
736    1075844688U,	// FMINvvv_2S
737    3225425488U,	// FMINvvv_4S
738    242229754U,	// FMLAddv_2D
739    242229754U,	// FMLAssv_4S
740    2215645690U,	// FMLAvve_2d2d
741    1142952442U,	// FMLAvve_2s4s
742    3292533242U,	// FMLAvve_4s4s
743    2215645690U,	// FMLAvvv_2D
744    1142952442U,	// FMLAvvv_2S
745    3292533242U,	// FMLAvvv_4S
746    242231440U,	// FMLSddv_2D
747    242231440U,	// FMLSssv_4S
748    2215647376U,	// FMLSvve_2d2d
749    1142954128U,	// FMLSvve_2s4s
750    3292534928U,	// FMLSvve_4s4s
751    2215647376U,	// FMLSvvv_2D
752    1142954128U,	// FMLSvvv_2S
753    3292534928U,	// FMLSvvv_4S
754    3262130611U,	// FMOVdd
755    376449459U,	// FMOVdi
756    3262130611U,	// FMOVdx
757    376449459U,	// FMOVsi
758    3262130611U,	// FMOVss
759    3262130611U,	// FMOVsw
760    370153907U,	// FMOVvi_2D
761    371202483U,	// FMOVvi_2S
762    373299635U,	// FMOVvi_4S
763    412096947U,	// FMOVvx
764    3262130611U,	// FMOVws
765    3262130611U,	// FMOVxd
766    3228576179U,	// FMOVxv
767    40903373U,	// FMSUBdddd
768    40903373U,	// FMSUBssss
769    40905318U,	// FMULXddd
770    40905318U,	// FMULXddv_2D
771    40905318U,	// FMULXsss
772    40905318U,	// FMULXssv_4S
773    2148538982U,	// FMULXve_2d2d
774    1075845734U,	// FMULXve_2s4s
775    3225426534U,	// FMULXve_4s4s
776    2148538982U,	// FMULXvvv_2D
777    1075845734U,	// FMULXvvv_2S
778    3225426534U,	// FMULXvvv_4S
779    40904181U,	// FMULddd
780    40904181U,	// FMULddv_2D
781    40904181U,	// FMULsss
782    40904181U,	// FMULssv_4S
783    2148537845U,	// FMULve_2d2d
784    1075844597U,	// FMULve_2s4s
785    3225425397U,	// FMULve_4s4s
786    2148537845U,	// FMULvvv_2D
787    1075844597U,	// FMULvvv_2S
788    3225425397U,	// FMULvvv_4S
789    1074795487U,	// FNEG2d
790    2149585887U,	// FNEG2s
791    4199391U,	// FNEG4s
792    3262129119U,	// FNEGdd
793    3262129119U,	// FNEGss
794    40903517U,	// FNMADDdddd
795    40903517U,	// FNMADDssss
796    40903380U,	// FNMSUBdddd
797    40903380U,	// FNMSUBssss
798    40904187U,	// FNMULddd
799    40904187U,	// FNMULsss
800    1074795413U,	// FRECPE_2d
801    2149585813U,	// FRECPE_2s
802    4199317U,	// FRECPE_4s
803    3262129045U,	// FRECPEdd
804    3262129045U,	// FRECPEss
805    40904875U,	// FRECPSddd
806    40904875U,	// FRECPSsss
807    2148538539U,	// FRECPSvvv_2D
808    1075845291U,	// FRECPSvvv_2S
809    3225426091U,	// FRECPSvvv_4S
810    3262130797U,	// FRECPXdd
811    3262130797U,	// FRECPXss
812    1074795034U,	// FRINTA_2d
813    2149585434U,	// FRINTA_2s
814    4198938U,	// FRINTA_4s
815    3262128666U,	// FRINTAdd
816    3262128666U,	// FRINTAss
817    1074795725U,	// FRINTI_2d
818    2149586125U,	// FRINTI_2s
819    4199629U,	// FRINTI_4s
820    3262129357U,	// FRINTIdd
821    3262129357U,	// FRINTIss
822    1074796081U,	// FRINTM_2d
823    2149586481U,	// FRINTM_2s
824    4199985U,	// FRINTM_4s
825    3262129713U,	// FRINTMdd
826    3262129713U,	// FRINTMss
827    1074796180U,	// FRINTN_2d
828    2149586580U,	// FRINTN_2s
829    4200084U,	// FRINTN_4s
830    3262129812U,	// FRINTNdd
831    3262129812U,	// FRINTNss
832    1074796376U,	// FRINTP_2d
833    2149586776U,	// FRINTP_2s
834    4200280U,	// FRINTP_4s
835    3262130008U,	// FRINTPdd
836    3262130008U,	// FRINTPss
837    1074797173U,	// FRINTX_2d
838    2149587573U,	// FRINTX_2s
839    4201077U,	// FRINTX_4s
840    3262130805U,	// FRINTXdd
841    3262130805U,	// FRINTXss
842    1074797222U,	// FRINTZ_2d
843    2149587622U,	// FRINTZ_2s
844    4201126U,	// FRINTZ_4s
845    3262130854U,	// FRINTZdd
846    3262130854U,	// FRINTZss
847    1074795450U,	// FRSQRTE_2d
848    2149585850U,	// FRSQRTE_2s
849    4199354U,	// FRSQRTE_4s
850    3262129082U,	// FRSQRTEdd
851    3262129082U,	// FRSQRTEss
852    40904896U,	// FRSQRTSddd
853    40904896U,	// FRSQRTSsss
854    2148538560U,	// FRSQRTSvvv_2D
855    1075845312U,	// FRSQRTSvvv_2S
856    3225426112U,	// FRSQRTSvvv_4S
857    1074796815U,	// FSQRT_2d
858    2149587215U,	// FSQRT_2s
859    4200719U,	// FSQRT_4s
860    3262130447U,	// FSQRTdd
861    3262130447U,	// FSQRTss
862    40903353U,	// FSUBddd
863    40903353U,	// FSUBsss
864    2148537017U,	// FSUBvvv_2D
865    1075843769U,	// FSUBvvv_2S
866    3225424569U,	// FSUBvvv_4S
867    8399108U,	// HINTi
868    8399091U,	// HLTi
869    8397590U,	// HVCi
870    8422140U,	// ICi
871    3262153468U,	// ICix
872    2225084574U,	// INSELb
873    2593134750U,	// INSELd
874    1152391326U,	// INSELh
875    79698078U,	// INSELs
876    3466598558U,	// INSbw
877    3666876574U,	// INSdx
878    3467647134U,	// INShw
879    3468695710U,	// INSsw
880    37521U,	// ISBi
881    13672469U,	// LD1LN_B
882    13676565U,	// LD1LN_D
883    13680661U,	// LD1LN_H
884    13684757U,	// LD1LN_S
885    14721045U,	// LD1LN_WB_B_fixed
886    14721045U,	// LD1LN_WB_B_register
887    14725141U,	// LD1LN_WB_D_fixed
888    14725141U,	// LD1LN_WB_D_register
889    14729237U,	// LD1LN_WB_H_fixed
890    14729237U,	// LD1LN_WB_H_register
891    14733333U,	// LD1LN_WB_S_fixed
892    14733333U,	// LD1LN_WB_S_register
893    15787936U,	// LD1R_16B
894    15792032U,	// LD1R_1D
895    15796128U,	// LD1R_2D
896    15800224U,	// LD1R_2S
897    15804320U,	// LD1R_4H
898    15808416U,	// LD1R_4S
899    15812512U,	// LD1R_8B
900    15816608U,	// LD1R_8H
901    16836512U,	// LD1R_WB_16B_fixed
902    16836512U,	// LD1R_WB_16B_register
903    16840608U,	// LD1R_WB_1D_fixed
904    16840608U,	// LD1R_WB_1D_register
905    16844704U,	// LD1R_WB_2D_fixed
906    16844704U,	// LD1R_WB_2D_register
907    16848800U,	// LD1R_WB_2S_fixed
908    16848800U,	// LD1R_WB_2S_register
909    16852896U,	// LD1R_WB_4H_fixed
910    16852896U,	// LD1R_WB_4H_register
911    16856992U,	// LD1R_WB_4S_fixed
912    16856992U,	// LD1R_WB_4S_register
913    16861088U,	// LD1R_WB_8B_fixed
914    16861088U,	// LD1R_WB_8B_register
915    16865184U,	// LD1R_WB_8H_fixed
916    16865184U,	// LD1R_WB_8H_register
917    16834581U,	// LD1WB_16B_fixed
918    16834581U,	// LD1WB_16B_register
919    16838677U,	// LD1WB_1D_fixed
920    16838677U,	// LD1WB_1D_register
921    16842773U,	// LD1WB_2D_fixed
922    16842773U,	// LD1WB_2D_register
923    16846869U,	// LD1WB_2S_fixed
924    16846869U,	// LD1WB_2S_register
925    16850965U,	// LD1WB_4H_fixed
926    16850965U,	// LD1WB_4H_register
927    16855061U,	// LD1WB_4S_fixed
928    16855061U,	// LD1WB_4S_register
929    16859157U,	// LD1WB_8B_fixed
930    16859157U,	// LD1WB_8B_register
931    16863253U,	// LD1WB_8H_fixed
932    16863253U,	// LD1WB_8H_register
933    15786005U,	// LD1_16B
934    15790101U,	// LD1_1D
935    15794197U,	// LD1_2D
936    15798293U,	// LD1_2S
937    15802389U,	// LD1_4H
938    15806485U,	// LD1_4S
939    15810581U,	// LD1_8B
940    15814677U,	// LD1_8H
941    16867349U,	// LD1x2WB_16B_fixed
942    16867349U,	// LD1x2WB_16B_register
943    16871445U,	// LD1x2WB_1D_fixed
944    16871445U,	// LD1x2WB_1D_register
945    16875541U,	// LD1x2WB_2D_fixed
946    16875541U,	// LD1x2WB_2D_register
947    16879637U,	// LD1x2WB_2S_fixed
948    16879637U,	// LD1x2WB_2S_register
949    16883733U,	// LD1x2WB_4H_fixed
950    16883733U,	// LD1x2WB_4H_register
951    16887829U,	// LD1x2WB_4S_fixed
952    16887829U,	// LD1x2WB_4S_register
953    16891925U,	// LD1x2WB_8B_fixed
954    16891925U,	// LD1x2WB_8B_register
955    16896021U,	// LD1x2WB_8H_fixed
956    16896021U,	// LD1x2WB_8H_register
957    15818773U,	// LD1x2_16B
958    15822869U,	// LD1x2_1D
959    15826965U,	// LD1x2_2D
960    15831061U,	// LD1x2_2S
961    15835157U,	// LD1x2_4H
962    15839253U,	// LD1x2_4S
963    15843349U,	// LD1x2_8B
964    15847445U,	// LD1x2_8H
965    16900117U,	// LD1x3WB_16B_fixed
966    16900117U,	// LD1x3WB_16B_register
967    16904213U,	// LD1x3WB_1D_fixed
968    16904213U,	// LD1x3WB_1D_register
969    16908309U,	// LD1x3WB_2D_fixed
970    16908309U,	// LD1x3WB_2D_register
971    16912405U,	// LD1x3WB_2S_fixed
972    16912405U,	// LD1x3WB_2S_register
973    16916501U,	// LD1x3WB_4H_fixed
974    16916501U,	// LD1x3WB_4H_register
975    16920597U,	// LD1x3WB_4S_fixed
976    16920597U,	// LD1x3WB_4S_register
977    16924693U,	// LD1x3WB_8B_fixed
978    16924693U,	// LD1x3WB_8B_register
979    16928789U,	// LD1x3WB_8H_fixed
980    16928789U,	// LD1x3WB_8H_register
981    15851541U,	// LD1x3_16B
982    15855637U,	// LD1x3_1D
983    15859733U,	// LD1x3_2D
984    15863829U,	// LD1x3_2S
985    15867925U,	// LD1x3_4H
986    15872021U,	// LD1x3_4S
987    15876117U,	// LD1x3_8B
988    15880213U,	// LD1x3_8H
989    16932885U,	// LD1x4WB_16B_fixed
990    16932885U,	// LD1x4WB_16B_register
991    16936981U,	// LD1x4WB_1D_fixed
992    16936981U,	// LD1x4WB_1D_register
993    16941077U,	// LD1x4WB_2D_fixed
994    16941077U,	// LD1x4WB_2D_register
995    16945173U,	// LD1x4WB_2S_fixed
996    16945173U,	// LD1x4WB_2S_register
997    16949269U,	// LD1x4WB_4H_fixed
998    16949269U,	// LD1x4WB_4H_register
999    16953365U,	// LD1x4WB_4S_fixed
1000    16953365U,	// LD1x4WB_4S_register
1001    16957461U,	// LD1x4WB_8B_fixed
1002    16957461U,	// LD1x4WB_8B_register
1003    16961557U,	// LD1x4WB_8H_fixed
1004    16961557U,	// LD1x4WB_8H_register
1005    15884309U,	// LD1x4_16B
1006    15888405U,	// LD1x4_1D
1007    15892501U,	// LD1x4_2D
1008    15896597U,	// LD1x4_2S
1009    15900693U,	// LD1x4_4H
1010    15904789U,	// LD1x4_4S
1011    15908885U,	// LD1x4_8B
1012    15912981U,	// LD1x4_8H
1013    13819987U,	// LD2LN_B
1014    13824083U,	// LD2LN_D
1015    13828179U,	// LD2LN_H
1016    13832275U,	// LD2LN_S
1017    14868563U,	// LD2LN_WB_B_fixed
1018    14868563U,	// LD2LN_WB_B_register
1019    14872659U,	// LD2LN_WB_D_fixed
1020    14872659U,	// LD2LN_WB_D_register
1021    14876755U,	// LD2LN_WB_H_fixed
1022    14876755U,	// LD2LN_WB_H_register
1023    14880851U,	// LD2LN_WB_S_fixed
1024    14880851U,	// LD2LN_WB_S_register
1025    15820710U,	// LD2R_16B
1026    15824806U,	// LD2R_1D
1027    15828902U,	// LD2R_2D
1028    15832998U,	// LD2R_2S
1029    15837094U,	// LD2R_4H
1030    15841190U,	// LD2R_4S
1031    15845286U,	// LD2R_8B
1032    15849382U,	// LD2R_8H
1033    16869286U,	// LD2R_WB_16B_fixed
1034    16869286U,	// LD2R_WB_16B_register
1035    16873382U,	// LD2R_WB_1D_fixed
1036    16873382U,	// LD2R_WB_1D_register
1037    16877478U,	// LD2R_WB_2D_fixed
1038    16877478U,	// LD2R_WB_2D_register
1039    16881574U,	// LD2R_WB_2S_fixed
1040    16881574U,	// LD2R_WB_2S_register
1041    16885670U,	// LD2R_WB_4H_fixed
1042    16885670U,	// LD2R_WB_4H_register
1043    16889766U,	// LD2R_WB_4S_fixed
1044    16889766U,	// LD2R_WB_4S_register
1045    16893862U,	// LD2R_WB_8B_fixed
1046    16893862U,	// LD2R_WB_8B_register
1047    16897958U,	// LD2R_WB_8H_fixed
1048    16897958U,	// LD2R_WB_8H_register
1049    16867411U,	// LD2WB_16B_fixed
1050    16867411U,	// LD2WB_16B_register
1051    16875603U,	// LD2WB_2D_fixed
1052    16875603U,	// LD2WB_2D_register
1053    16879699U,	// LD2WB_2S_fixed
1054    16879699U,	// LD2WB_2S_register
1055    16883795U,	// LD2WB_4H_fixed
1056    16883795U,	// LD2WB_4H_register
1057    16887891U,	// LD2WB_4S_fixed
1058    16887891U,	// LD2WB_4S_register
1059    16891987U,	// LD2WB_8B_fixed
1060    16891987U,	// LD2WB_8B_register
1061    16896083U,	// LD2WB_8H_fixed
1062    16896083U,	// LD2WB_8H_register
1063    15818835U,	// LD2_16B
1064    15827027U,	// LD2_2D
1065    15831123U,	// LD2_2S
1066    15835219U,	// LD2_4H
1067    15839315U,	// LD2_4S
1068    15843411U,	// LD2_8B
1069    15847507U,	// LD2_8H
1070    13836741U,	// LD3LN_B
1071    13840837U,	// LD3LN_D
1072    13844933U,	// LD3LN_H
1073    13849029U,	// LD3LN_S
1074    14885317U,	// LD3LN_WB_B_fixed
1075    14885317U,	// LD3LN_WB_B_register
1076    14889413U,	// LD3LN_WB_D_fixed
1077    14889413U,	// LD3LN_WB_D_register
1078    14893509U,	// LD3LN_WB_H_fixed
1079    14893509U,	// LD3LN_WB_H_register
1080    14897605U,	// LD3LN_WB_S_fixed
1081    14897605U,	// LD3LN_WB_S_register
1082    15853484U,	// LD3R_16B
1083    15857580U,	// LD3R_1D
1084    15861676U,	// LD3R_2D
1085    15865772U,	// LD3R_2S
1086    15869868U,	// LD3R_4H
1087    15873964U,	// LD3R_4S
1088    15878060U,	// LD3R_8B
1089    15882156U,	// LD3R_8H
1090    16902060U,	// LD3R_WB_16B_fixed
1091    16902060U,	// LD3R_WB_16B_register
1092    16906156U,	// LD3R_WB_1D_fixed
1093    16906156U,	// LD3R_WB_1D_register
1094    16910252U,	// LD3R_WB_2D_fixed
1095    16910252U,	// LD3R_WB_2D_register
1096    16914348U,	// LD3R_WB_2S_fixed
1097    16914348U,	// LD3R_WB_2S_register
1098    16918444U,	// LD3R_WB_4H_fixed
1099    16918444U,	// LD3R_WB_4H_register
1100    16922540U,	// LD3R_WB_4S_fixed
1101    16922540U,	// LD3R_WB_4S_register
1102    16926636U,	// LD3R_WB_8B_fixed
1103    16926636U,	// LD3R_WB_8B_register
1104    16930732U,	// LD3R_WB_8H_fixed
1105    16930732U,	// LD3R_WB_8H_register
1106    16900549U,	// LD3WB_16B_fixed
1107    16900549U,	// LD3WB_16B_register
1108    16908741U,	// LD3WB_2D_fixed
1109    16908741U,	// LD3WB_2D_register
1110    16912837U,	// LD3WB_2S_fixed
1111    16912837U,	// LD3WB_2S_register
1112    16916933U,	// LD3WB_4H_fixed
1113    16916933U,	// LD3WB_4H_register
1114    16921029U,	// LD3WB_4S_fixed
1115    16921029U,	// LD3WB_4S_register
1116    16925125U,	// LD3WB_8B_fixed
1117    16925125U,	// LD3WB_8B_register
1118    16929221U,	// LD3WB_8H_fixed
1119    16929221U,	// LD3WB_8H_register
1120    15851973U,	// LD3_16B
1121    15860165U,	// LD3_2D
1122    15864261U,	// LD3_2S
1123    15868357U,	// LD3_4H
1124    15872453U,	// LD3_4S
1125    15876549U,	// LD3_8B
1126    15880645U,	// LD3_8H
1127    13853149U,	// LD4LN_B
1128    13857245U,	// LD4LN_D
1129    13861341U,	// LD4LN_H
1130    13865437U,	// LD4LN_S
1131    14901725U,	// LD4LN_WB_B_fixed
1132    14901725U,	// LD4LN_WB_B_register
1133    14905821U,	// LD4LN_WB_D_fixed
1134    14905821U,	// LD4LN_WB_D_register
1135    14909917U,	// LD4LN_WB_H_fixed
1136    14909917U,	// LD4LN_WB_H_register
1137    14914013U,	// LD4LN_WB_S_fixed
1138    14914013U,	// LD4LN_WB_S_register
1139    15886258U,	// LD4R_16B
1140    15890354U,	// LD4R_1D
1141    15894450U,	// LD4R_2D
1142    15898546U,	// LD4R_2S
1143    15902642U,	// LD4R_4H
1144    15906738U,	// LD4R_4S
1145    15910834U,	// LD4R_8B
1146    15914930U,	// LD4R_8H
1147    16934834U,	// LD4R_WB_16B_fixed
1148    16934834U,	// LD4R_WB_16B_register
1149    16938930U,	// LD4R_WB_1D_fixed
1150    16938930U,	// LD4R_WB_1D_register
1151    16943026U,	// LD4R_WB_2D_fixed
1152    16943026U,	// LD4R_WB_2D_register
1153    16947122U,	// LD4R_WB_2S_fixed
1154    16947122U,	// LD4R_WB_2S_register
1155    16951218U,	// LD4R_WB_4H_fixed
1156    16951218U,	// LD4R_WB_4H_register
1157    16955314U,	// LD4R_WB_4S_fixed
1158    16955314U,	// LD4R_WB_4S_register
1159    16959410U,	// LD4R_WB_8B_fixed
1160    16959410U,	// LD4R_WB_8B_register
1161    16963506U,	// LD4R_WB_8H_fixed
1162    16963506U,	// LD4R_WB_8H_register
1163    16933341U,	// LD4WB_16B_fixed
1164    16933341U,	// LD4WB_16B_register
1165    16941533U,	// LD4WB_2D_fixed
1166    16941533U,	// LD4WB_2D_register
1167    16945629U,	// LD4WB_2S_fixed
1168    16945629U,	// LD4WB_2S_register
1169    16949725U,	// LD4WB_4H_fixed
1170    16949725U,	// LD4WB_4H_register
1171    16953821U,	// LD4WB_4S_fixed
1172    16953821U,	// LD4WB_4S_register
1173    16957917U,	// LD4WB_8B_fixed
1174    16957917U,	// LD4WB_8B_register
1175    16962013U,	// LD4WB_8H_fixed
1176    16962013U,	// LD4WB_8H_register
1177    15884765U,	// LD4_16B
1178    15892957U,	// LD4_2D
1179    15897053U,	// LD4_2S
1180    15901149U,	// LD4_4H
1181    15905245U,	// LD4_4S
1182    15909341U,	// LD4_8B
1183    15913437U,	// LD4_8H
1184    51388984U,	// LDAR_byte
1185    51390392U,	// LDAR_dword
1186    51389493U,	// LDAR_hword
1187    51390392U,	// LDAR_word
1188    1114646378U,	// LDAXP_dword
1189    1114646378U,	// LDAXP_word
1190    51389038U,	// LDAXR_byte
1191    51390514U,	// LDAXR_dword
1192    51389547U,	// LDAXR_hword
1193    51390514U,	// LDAXR_word
1194    1114647047U,	// LDPSWx
1195    1114647047U,	// LDPSWx_PostInd
1196    1114647047U,	// LDPSWx_PreInd
1197    51389078U,	// LDRSBw
1198    2400199318U,	// LDRSBw_PostInd
1199    252715670U,	// LDRSBw_PreInd
1200    51389093U,	// LDRSBw_U
1201    51389078U,	// LDRSBw_Wm_RegOffset
1202    51389078U,	// LDRSBw_Xm_RegOffset
1203    51389078U,	// LDRSBx
1204    2400199318U,	// LDRSBx_PostInd
1205    252715670U,	// LDRSBx_PreInd
1206    51389093U,	// LDRSBx_U
1207    51389078U,	// LDRSBx_Wm_RegOffset
1208    51389078U,	// LDRSBx_Xm_RegOffset
1209    51389577U,	// LDRSHw
1210    2400199817U,	// LDRSHw_PostInd
1211    252716169U,	// LDRSHw_PreInd
1212    51389592U,	// LDRSHw_U
1213    51389577U,	// LDRSHw_Wm_RegOffset
1214    51389577U,	// LDRSHw_Xm_RegOffset
1215    51389577U,	// LDRSHx
1216    2400199817U,	// LDRSHx_PostInd
1217    252716169U,	// LDRSHx_PreInd
1218    51389592U,	// LDRSHx_U
1219    51389577U,	// LDRSHx_Wm_RegOffset
1220    51389577U,	// LDRSHx_Xm_RegOffset
1221    51390990U,	// LDRSWx
1222    2400201230U,	// LDRSWx_PostInd
1223    252717582U,	// LDRSWx_PreInd
1224    51390990U,	// LDRSWx_Wm_RegOffset
1225    51390990U,	// LDRSWx_Xm_RegOffset
1226    309340686U,	// LDRSWx_lit
1227    309340103U,	// LDRd_lit
1228    309340103U,	// LDRq_lit
1229    309340103U,	// LDRs_lit
1230    309340103U,	// LDRw_lit
1231    309340103U,	// LDRx_lit
1232    51389085U,	// LDTRSBw
1233    51389085U,	// LDTRSBx
1234    51389584U,	// LDTRSHw
1235    51389584U,	// LDTRSHx
1236    51390997U,	// LDTRSWx
1237    51391005U,	// LDURSWx
1238    1114646406U,	// LDXP_dword
1239    1114646406U,	// LDXP_word
1240    51389046U,	// LDXR_byte
1241    51390521U,	// LDXR_dword
1242    51389555U,	// LDXR_hword
1243    51390521U,	// LDXR_word
1244    51389500U,	// LS16_LDR
1245    51389533U,	// LS16_LDUR
1246    2400199740U,	// LS16_PostInd_LDR
1247    2400429136U,	// LS16_PostInd_STR
1248    252716092U,	// LS16_PreInd_LDR
1249    252945488U,	// LS16_PreInd_STR
1250    51389520U,	// LS16_STR
1251    51389540U,	// LS16_STUR
1252    51389513U,	// LS16_UnPriv_LDR
1253    51389526U,	// LS16_UnPriv_STR
1254    51389500U,	// LS16_Wm_RegOffset_LDR
1255    51389520U,	// LS16_Wm_RegOffset_STR
1256    51389500U,	// LS16_Xm_RegOffset_LDR
1257    51389520U,	// LS16_Xm_RegOffset_STR
1258    51390407U,	// LS32_LDR
1259    51390502U,	// LS32_LDUR
1260    2400200647U,	// LS32_PostInd_LDR
1261    2400430101U,	// LS32_PostInd_STR
1262    252716999U,	// LS32_PreInd_LDR
1263    252946453U,	// LS32_PreInd_STR
1264    51390485U,	// LS32_STR
1265    51390508U,	// LS32_STUR
1266    51390479U,	// LS32_UnPriv_LDR
1267    51390490U,	// LS32_UnPriv_STR
1268    51390407U,	// LS32_Wm_RegOffset_LDR
1269    51390485U,	// LS32_Wm_RegOffset_STR
1270    51390407U,	// LS32_Xm_RegOffset_LDR
1271    51390485U,	// LS32_Xm_RegOffset_STR
1272    51390407U,	// LS64_LDR
1273    51390502U,	// LS64_LDUR
1274    2400200647U,	// LS64_PostInd_LDR
1275    2400430101U,	// LS64_PostInd_STR
1276    252716999U,	// LS64_PreInd_LDR
1277    252946453U,	// LS64_PreInd_STR
1278    51390485U,	// LS64_STR
1279    51390508U,	// LS64_STUR
1280    51390479U,	// LS64_UnPriv_LDR
1281    51390490U,	// LS64_UnPriv_STR
1282    51390407U,	// LS64_Wm_RegOffset_LDR
1283    51390485U,	// LS64_Wm_RegOffset_STR
1284    51390407U,	// LS64_Xm_RegOffset_LDR
1285    51390485U,	// LS64_Xm_RegOffset_STR
1286    51388991U,	// LS8_LDR
1287    51389024U,	// LS8_LDUR
1288    2400199231U,	// LS8_PostInd_LDR
1289    2400428627U,	// LS8_PostInd_STR
1290    252715583U,	// LS8_PreInd_LDR
1291    252944979U,	// LS8_PreInd_STR
1292    51389011U,	// LS8_STR
1293    51389031U,	// LS8_STUR
1294    51389004U,	// LS8_UnPriv_LDR
1295    51389017U,	// LS8_UnPriv_STR
1296    51388991U,	// LS8_Wm_RegOffset_LDR
1297    51389011U,	// LS8_Wm_RegOffset_STR
1298    51388991U,	// LS8_Xm_RegOffset_LDR
1299    51389011U,	// LS8_Xm_RegOffset_STR
1300    51390407U,	// LSFP128_LDR
1301    51390502U,	// LSFP128_LDUR
1302    2400200647U,	// LSFP128_PostInd_LDR
1303    2400430101U,	// LSFP128_PostInd_STR
1304    252716999U,	// LSFP128_PreInd_LDR
1305    252946453U,	// LSFP128_PreInd_STR
1306    51390485U,	// LSFP128_STR
1307    51390508U,	// LSFP128_STUR
1308    51390407U,	// LSFP128_Wm_RegOffset_LDR
1309    51390485U,	// LSFP128_Wm_RegOffset_STR
1310    51390407U,	// LSFP128_Xm_RegOffset_LDR
1311    51390485U,	// LSFP128_Xm_RegOffset_STR
1312    51390407U,	// LSFP16_LDR
1313    51390502U,	// LSFP16_LDUR
1314    2400200647U,	// LSFP16_PostInd_LDR
1315    2400430101U,	// LSFP16_PostInd_STR
1316    252716999U,	// LSFP16_PreInd_LDR
1317    252946453U,	// LSFP16_PreInd_STR
1318    51390485U,	// LSFP16_STR
1319    51390508U,	// LSFP16_STUR
1320    51390407U,	// LSFP16_Wm_RegOffset_LDR
1321    51390485U,	// LSFP16_Wm_RegOffset_STR
1322    51390407U,	// LSFP16_Xm_RegOffset_LDR
1323    51390485U,	// LSFP16_Xm_RegOffset_STR
1324    51390407U,	// LSFP32_LDR
1325    51390502U,	// LSFP32_LDUR
1326    2400200647U,	// LSFP32_PostInd_LDR
1327    2400430101U,	// LSFP32_PostInd_STR
1328    252716999U,	// LSFP32_PreInd_LDR
1329    252946453U,	// LSFP32_PreInd_STR
1330    51390485U,	// LSFP32_STR
1331    51390508U,	// LSFP32_STUR
1332    51390407U,	// LSFP32_Wm_RegOffset_LDR
1333    51390485U,	// LSFP32_Wm_RegOffset_STR
1334    51390407U,	// LSFP32_Xm_RegOffset_LDR
1335    51390485U,	// LSFP32_Xm_RegOffset_STR
1336    51390407U,	// LSFP64_LDR
1337    51390502U,	// LSFP64_LDUR
1338    2400200647U,	// LSFP64_PostInd_LDR
1339    2400430101U,	// LSFP64_PostInd_STR
1340    252716999U,	// LSFP64_PreInd_LDR
1341    252946453U,	// LSFP64_PreInd_STR
1342    51390485U,	// LSFP64_STR
1343    51390508U,	// LSFP64_STUR
1344    51390407U,	// LSFP64_Wm_RegOffset_LDR
1345    51390485U,	// LSFP64_Wm_RegOffset_STR
1346    51390407U,	// LSFP64_Xm_RegOffset_LDR
1347    51390485U,	// LSFP64_Xm_RegOffset_STR
1348    51390407U,	// LSFP8_LDR
1349    51390502U,	// LSFP8_LDUR
1350    2400200647U,	// LSFP8_PostInd_LDR
1351    2400430101U,	// LSFP8_PostInd_STR
1352    252716999U,	// LSFP8_PreInd_LDR
1353    252946453U,	// LSFP8_PreInd_STR
1354    51390485U,	// LSFP8_STR
1355    51390508U,	// LSFP8_STUR
1356    51390407U,	// LSFP8_Wm_RegOffset_LDR
1357    51390485U,	// LSFP8_Wm_RegOffset_STR
1358    51390407U,	// LSFP8_Xm_RegOffset_LDR
1359    51390485U,	// LSFP8_Xm_RegOffset_STR
1360    1114646253U,	// LSFPPair128_LDR
1361    1114646321U,	// LSFPPair128_NonTemp_LDR
1362    1114646348U,	// LSFPPair128_NonTemp_STR
1363    1114646253U,	// LSFPPair128_PostInd_LDR
1364    1316202336U,	// LSFPPair128_PostInd_STR
1365    1114646253U,	// LSFPPair128_PreInd_LDR
1366    1316202336U,	// LSFPPair128_PreInd_STR
1367    1114646368U,	// LSFPPair128_STR
1368    1114646253U,	// LSFPPair32_LDR
1369    1114646321U,	// LSFPPair32_NonTemp_LDR
1370    1114646348U,	// LSFPPair32_NonTemp_STR
1371    1114646253U,	// LSFPPair32_PostInd_LDR
1372    1316202336U,	// LSFPPair32_PostInd_STR
1373    1114646253U,	// LSFPPair32_PreInd_LDR
1374    1316202336U,	// LSFPPair32_PreInd_STR
1375    1114646368U,	// LSFPPair32_STR
1376    1114646253U,	// LSFPPair64_LDR
1377    1114646321U,	// LSFPPair64_NonTemp_LDR
1378    1114646348U,	// LSFPPair64_NonTemp_STR
1379    1114646253U,	// LSFPPair64_PostInd_LDR
1380    1316202336U,	// LSFPPair64_PostInd_STR
1381    1114646253U,	// LSFPPair64_PreInd_LDR
1382    1316202336U,	// LSFPPair64_PreInd_STR
1383    1114646368U,	// LSFPPair64_STR
1384    40904149U,	// LSLVwww
1385    40904149U,	// LSLVxxx
1386    40904149U,	// LSLwwi
1387    40904149U,	// LSLxxi
1388    1114646253U,	// LSPair32_LDR
1389    1114646321U,	// LSPair32_NonTemp_LDR
1390    1114646348U,	// LSPair32_NonTemp_STR
1391    1114646253U,	// LSPair32_PostInd_LDR
1392    1316202336U,	// LSPair32_PostInd_STR
1393    1114646253U,	// LSPair32_PreInd_LDR
1394    1316202336U,	// LSPair32_PreInd_STR
1395    1114646368U,	// LSPair32_STR
1396    1114646253U,	// LSPair64_LDR
1397    1114646321U,	// LSPair64_NonTemp_LDR
1398    1114646348U,	// LSPair64_NonTemp_STR
1399    1114646253U,	// LSPair64_PostInd_LDR
1400    1316202336U,	// LSPair64_PostInd_STR
1401    1114646253U,	// LSPair64_PreInd_LDR
1402    1316202336U,	// LSPair64_PreInd_STR
1403    1114646368U,	// LSPair64_STR
1404    40904709U,	// LSRVwww
1405    40904709U,	// LSRVxxx
1406    40904709U,	// LSRwwi
1407    40904709U,	// LSRxxi
1408    40903511U,	// MADDwwww
1409    40903511U,	// MADDxxxx
1410    1142952443U,	// MLAvve_2s4s
1411    2217742843U,	// MLAvve_4h8h
1412    3292533243U,	// MLAvve_4s4s
1413    1147146747U,	// MLAvve_8h8h
1414    67113467U,	// MLAvvv_16B
1415    1142952443U,	// MLAvvv_2S
1416    2217742843U,	// MLAvvv_4H
1417    3292533243U,	// MLAvvv_4S
1418    3293581819U,	// MLAvvv_8B
1419    1147146747U,	// MLAvvv_8H
1420    1142954129U,	// MLSvve_2s4s
1421    2217744529U,	// MLSvve_4h8h
1422    3292534929U,	// MLSvve_4s4s
1423    1147148433U,	// MLSvve_8h8h
1424    67115153U,	// MLSvvv_16B
1425    1142954129U,	// MLSvvv_2S
1426    2217744529U,	// MLSvvv_4H
1427    3292534929U,	// MLSvvv_4S
1428    3293583505U,	// MLSvvv_8B
1429    1147148433U,	// MLSvvv_8H
1430    477113012U,	// MOVIdi
1431    3724547285U,	// MOVIvi_16B
1432    470817460U,	// MOVIvi_2D
1433    3729790165U,	// MOVIvi_8B
1434    3726644437U,	// MOVIvi_lsl_2S
1435    506467541U,	// MOVIvi_lsl_4H
1436    3728741589U,	// MOVIvi_lsl_4S
1437    509613269U,	// MOVIvi_lsl_8H
1438    1579160789U,	// MOVIvi_msl_2S
1439    1581257941U,	// MOVIvi_msl_4S
1440    544220384U,	// MOVKwii
1441    544220384U,	// MOVKxii
1442    577775313U,	// MOVNwii
1443    577775313U,	// MOVNxii
1444    577776302U,	// MOVZwii
1445    577776302U,	// MOVZxii
1446    611330235U,	// MRSxi
1447    243722U,	// MSRii
1448    247818U,	// MSRix
1449    40903374U,	// MSUBwwww
1450    40903374U,	// MSUBxxxx
1451    1075844598U,	// MULve_2s4s
1452    2150634998U,	// MULve_4h8h
1453    3225425398U,	// MULve_4s4s
1454    1080038902U,	// MULve_8h8h
1455    5622U,	// MULvvv_16B
1456    1075844598U,	// MULvvv_2S
1457    2150634998U,	// MULvvv_4H
1458    3225425398U,	// MULvvv_4S
1459    3226473974U,	// MULvvv_8B
1460    1080038902U,	// MULvvv_8H
1461    3726644418U,	// MVNIvi_lsl_2S
1462    506467522U,	// MVNIvi_lsl_4H
1463    3728741570U,	// MVNIvi_lsl_4S
1464    509613250U,	// MVNIvi_lsl_8H
1465    1579160770U,	// MVNIvi_msl_2S
1466    1581257922U,	// MVNIvi_msl_4S
1467    40904396U,	// MVNww_asr
1468    40904396U,	// MVNww_lsl
1469    40904396U,	// MVNww_lsr
1470    40904396U,	// MVNww_ror
1471    40904396U,	// MVNxx_asr
1472    40904396U,	// MVNxx_lsl
1473    40904396U,	// MVNxx_lsr
1474    40904396U,	// MVNxx_ror
1475    5088U,	// NEG16b
1476    1074795488U,	// NEG2d
1477    2149585888U,	// NEG2s
1478    3224376288U,	// NEG4h
1479    4199392U,	// NEG4s
1480    1078989792U,	// NEG8b
1481    2153780192U,	// NEG8h
1482    3262129120U,	// NEGdd
1483    6410U,	// NOT16b
1484    1078991114U,	// NOT8b
1485    5775U,	// ORNvvv_16B
1486    3226474127U,	// ORNvvv_8B
1487    40904335U,	// ORNwww_asr
1488    40904335U,	// ORNwww_lsl
1489    40904335U,	// ORNwww_lsr
1490    40904335U,	// ORNwww_ror
1491    40904335U,	// ORNxxx_asr
1492    40904335U,	// ORNxxx_lsl
1493    40904335U,	// ORNxxx_lsr
1494    40904335U,	// ORNxxx_ror
1495    270538747U,	// ORRvi_lsl_2S
1496    1345329147U,	// ORRvi_lsl_4H
1497    272635899U,	// ORRvi_lsl_4S
1498    1348474875U,	// ORRvi_lsl_8H
1499    6139U,	// ORRvvv_16B
1500    3226474491U,	// ORRvvv_8B
1501    40904699U,	// ORRwwi
1502    40904699U,	// ORRwww_asr
1503    40904699U,	// ORRwww_lsl
1504    40904699U,	// ORRwww_lsr
1505    40904699U,	// ORRwww_ror
1506    40904699U,	// ORRxxi
1507    40904699U,	// ORRxxx_asr
1508    40904699U,	// ORRxxx_lsl
1509    40904699U,	// ORRxxx_lsr
1510    40904699U,	// ORRxxx_ror
1511    656412886U,	// PMULL2vvv_1q2d
1512    6295766U,	// PMULL2vvv_8h16b
1513    689968567U,	// PMULLvvv_1q1d
1514    3227522487U,	// PMULLvvv_8h8b
1515    5634U,	// PMULvvv_16B
1516    3226473986U,	// PMULvvv_8B
1517    51631643U,	// PRFM
1518    51631643U,	// PRFM_Wm_RegOffset
1519    51631643U,	// PRFM_Xm_RegOffset
1520    309581339U,	// PRFM_lit
1521    51631673U,	// PRFUM
1522    1140855152U,	// QRSHRUNvvi_16B
1523    2149586618U,	// QRSHRUNvvi_2S
1524    3224377018U,	// QRSHRUNvvi_4H
1525    2218791280U,	// QRSHRUNvvi_4S
1526    1078990522U,	// QRSHRUNvvi_8B
1527    3294630256U,	// QRSHRUNvvi_8H
1528    1140855142U,	// QSHRUNvvi_16B
1529    2149586609U,	// QSHRUNvvi_2S
1530    3224377009U,	// QSHRUNvvi_4H
1531    2218791270U,	// QSHRUNvvi_4S
1532    1078990513U,	// QSHRUNvvi_8B
1533    3294630246U,	// QSHRUNvvi_8H
1534    1140855065U,	// RADDHN2vvv_16b8h
1535    2218791193U,	// RADDHN2vvv_4s2d
1536    3294630169U,	// RADDHN2vvv_8h4s
1537    2149586504U,	// RADDHNvvv_2s2d
1538    3224376904U,	// RADDHNvvv_4h4s
1539    1078990408U,	// RADDHNvvv_8b8h
1540    6381U,	// RBIT16b
1541    1078991085U,	// RBIT8b
1542    3262130413U,	// RBITww
1543    3262130413U,	// RBITxx
1544    0U,	// RET
1545    8399066U,	// RETx
1546    4583U,	// REV16_16b
1547    1078989287U,	// REV16_8b
1548    3262128615U,	// REV16ww
1549    3262128615U,	// REV16xx
1550    4172U,	// REV32_16b
1551    3224375372U,	// REV32_4h
1552    1078988876U,	// REV32_8b
1553    2153779276U,	// REV32_8h
1554    3262128204U,	// REV32xx
1555    4566U,	// REV64_16b
1556    2149585366U,	// REV64_2s
1557    3224375766U,	// REV64_4h
1558    4198870U,	// REV64_4s
1559    1078989270U,	// REV64_8b
1560    2153779670U,	// REV64_8h
1561    3262130526U,	// REVww
1562    3262130526U,	// REVxx
1563    40904694U,	// RORVwww
1564    40904694U,	// RORVxxx
1565    1140855094U,	// RSHRNvvi_16B
1566    2149586559U,	// RSHRNvvi_2S
1567    3224376959U,	// RSHRNvvi_4H
1568    2218791222U,	// RSHRNvvi_4S
1569    1078990463U,	// RSHRNvvi_8B
1570    3294630198U,	// RSHRNvvi_8H
1571    1140855056U,	// RSUBHN2vvv_16b8h
1572    2218791184U,	// RSUBHN2vvv_4s2d
1573    3294630160U,	// RSUBHN2vvv_8h4s
1574    2149586496U,	// RSUBHNvvv_2s2d
1575    3224376896U,	// RSUBHNvvv_4h4s
1576    1078990400U,	// RSUBHNvvv_8b8h
1577    3289387106U,	// SABAL2vvv_2d2s
1578    1145049186U,	// SABAL2vvv_4s4h
1579    73404514U,	// SABAL2vvv_8h8b
1580    1141904614U,	// SABALvvv_2d2s
1581    2218792166U,	// SABALvvv_4s4h
1582    3294631142U,	// SABALvvv_8h8b
1583    67113454U,	// SABAvvv_16B
1584    1142952430U,	// SABAvvv_2S
1585    2217742830U,	// SABAvvv_4H
1586    3292533230U,	// SABAvvv_4S
1587    3293581806U,	// SABAvvv_8B
1588    1147146734U,	// SABAvvv_8H
1589    3222278300U,	// SABDL2vvv_2d2s
1590    1077940380U,	// SABDL2vvv_4s4h
1591    6295708U,	// SABDL2vvv_8h8b
1592    1074795822U,	// SABDLvvv_2d2s
1593    2151683374U,	// SABDLvvv_4s4h
1594    3227522350U,	// SABDLvvv_8h8b
1595    4902U,	// SABDvvv_16B
1596    1075843878U,	// SABDvvv_2S
1597    2150634278U,	// SABDvvv_4H
1598    3225424678U,	// SABDvvv_4S
1599    3226473254U,	// SABDvvv_8B
1600    1080038182U,	// SABDvvv_8H
1601    73406194U,	// SADALP16b8h
1602    2234521330U,	// SADALP2s1d
1603    3290437362U,	// SADALP4h2s
1604    68163314U,	// SADALP4s2d
1605    1144002290U,	// SADALP8b4h
1606    2218792690U,	// SADALP8h4s
1607    3222278316U,	// SADDL2vvv_2d4s
1608    1077940396U,	// SADDL2vvv_4s8h
1609    6295724U,	// SADDL2vvv_8h16b
1610    6297346U,	// SADDLP16b8h
1611    2167412482U,	// SADDLP2s1d
1612    3223328514U,	// SADDLP4h2s
1613    1054466U,	// SADDLP4s2d
1614    1076893442U,	// SADDLP8b4h
1615    2151683842U,	// SADDLP8h4s
1616    7350645U,	// SADDLV_1d4s
1617    7350645U,	// SADDLV_1h16b
1618    1081092469U,	// SADDLV_1h8b
1619    3228576117U,	// SADDLV_1s4h
1620    2154834293U,	// SADDLV_1s8h
1621    1074795852U,	// SADDLvvv_2d2s
1622    2151683404U,	// SADDLvvv_4s4h
1623    3227522380U,	// SADDLvvv_8h8b
1624    2148536757U,	// SADDW2vvv_2d4s
1625    3225424309U,	// SADDW2vvv_4s8h
1626    1080037813U,	// SADDW2vvv_8h16b
1627    2148538873U,	// SADDWvvv_2d2s
1628    3225426425U,	// SADDWvvv_4s4h
1629    1080039929U,	// SADDWvvv_8h8b
1630    40904807U,	// SBCSwww
1631    40904807U,	// SBCSxxx
1632    40903409U,	// SBCwww
1633    40903409U,	// SBCxxx
1634    40905351U,	// SBFIZwwii
1635    40905351U,	// SBFIZxxii
1636    40904207U,	// SBFMwwii
1637    40904207U,	// SBFMxxii
1638    40905306U,	// SBFXwwii
1639    40905306U,	// SBFXxxii
1640    1074795473U,	// SCVTF_2d
1641    2149585873U,	// SCVTF_2s
1642    4199377U,	// SCVTF_4s
1643    40903633U,	// SCVTF_Nddi
1644    40903633U,	// SCVTF_Nssi
1645    3262129105U,	// SCVTFdd
1646    3262129105U,	// SCVTFdw
1647    40903633U,	// SCVTFdwi
1648    3262129105U,	// SCVTFdx
1649    40903633U,	// SCVTFdxi
1650    3262129105U,	// SCVTFss
1651    3262129105U,	// SCVTFsw
1652    40903633U,	// SCVTFswi
1653    3262129105U,	// SCVTFsx
1654    40903633U,	// SCVTFsxi
1655    40905065U,	// SDIVwww
1656    40905065U,	// SDIVxxx
1657    242229994U,	// SHA1C
1658    3262129139U,	// SHA1H
1659    242230792U,	// SHA1M
1660    242231007U,	// SHA1P
1661    3292532737U,	// SHA1SU0
1662    71307320U,	// SHA1SU1
1663    242230274U,	// SHA256H
1664    242229336U,	// SHA256H2
1665    71307274U,	// SHA256SU0
1666    3292532801U,	// SHA256SU1
1667    4936U,	// SHADDvvv_16B
1668    1075843912U,	// SHADDvvv_2S
1669    2150634312U,	// SHADDvvv_4H
1670    3225424712U,	// SHADDvvv_4S
1671    3226473288U,	// SHADDvvv_8B
1672    1080038216U,	// SHADDvvv_8H
1673    6295741U,	// SHLL16b8h
1674    1074795937U,	// SHLL2s2d
1675    2151683489U,	// SHLL4h4s
1676    3222278333U,	// SHLL4s2d
1677    3227522465U,	// SHLL8b8h
1678    1077940413U,	// SHLL8h4s
1679    40904035U,	// SHLddi
1680    5475U,	// SHLvvi_16B
1681    2148537699U,	// SHLvvi_2D
1682    1075844451U,	// SHLvvi_2S
1683    2150634851U,	// SHLvvi_4H
1684    3225425251U,	// SHLvvi_4S
1685    3226473827U,	// SHLvvi_8B
1686    1080038755U,	// SHLvvi_8H
1687    1140855076U,	// SHRNvvi_16B
1688    2149586543U,	// SHRNvvi_2S
1689    3224376943U,	// SHRNvvi_4H
1690    2218791204U,	// SHRNvvi_4S
1691    1078990447U,	// SHRNvvi_8B
1692    3294630180U,	// SHRNvvi_8H
1693    4799U,	// SHSUBvvv_16B
1694    1075843775U,	// SHSUBvvv_2S
1695    2150634175U,	// SHSUBvvv_4H
1696    3225424575U,	// SHSUBvvv_4S
1697    3226473151U,	// SHSUBvvv_8B
1698    1080038079U,	// SHSUBvvv_8H
1699    242230461U,	// SLI
1700    67114173U,	// SLIvvi_16B
1701    2215646397U,	// SLIvvi_2D
1702    1142953149U,	// SLIvvi_2S
1703    2217743549U,	// SLIvvi_4H
1704    3292533949U,	// SLIvvi_4S
1705    3293582525U,	// SLIvvi_8B
1706    1147147453U,	// SLIvvi_8H
1707    40903996U,	// SMADDLxwwx
1708    6008U,	// SMAXPvvv_16B
1709    1075844984U,	// SMAXPvvv_2S
1710    2150635384U,	// SMAXPvvv_4H
1711    3225425784U,	// SMAXPvvv_4S
1712    3226474360U,	// SMAXPvvv_8B
1713    1080039288U,	// SMAXPvvv_8H
1714    7350732U,	// SMAXV_1b16b
1715    1081092556U,	// SMAXV_1b8b
1716    3228576204U,	// SMAXV_1h4h
1717    2154834380U,	// SMAXV_1h8h
1718    7350732U,	// SMAXV_1s4s
1719    6713U,	// SMAXvvv_16B
1720    1075845689U,	// SMAXvvv_2S
1721    2150636089U,	// SMAXvvv_4H
1722    3225426489U,	// SMAXvvv_4S
1723    3226475065U,	// SMAXvvv_8B
1724    1080039993U,	// SMAXvvv_8H
1725    8397578U,	// SMCi
1726    5950U,	// SMINPvvv_16B
1727    1075844926U,	// SMINPvvv_2S
1728    2150635326U,	// SMINPvvv_4H
1729    3225425726U,	// SMINPvvv_4S
1730    3226474302U,	// SMINPvvv_8B
1731    1080039230U,	// SMINPvvv_8H
1732    7350686U,	// SMINV_1b16b
1733    1081092510U,	// SMINV_1b8b
1734    3228576158U,	// SMINV_1h4h
1735    2154834334U,	// SMINV_1h8h
1736    7350686U,	// SMINV_1s4s
1737    5718U,	// SMINvvv_16B
1738    1075844694U,	// SMINvvv_2S
1739    2150635094U,	// SMINvvv_4H
1740    3225425494U,	// SMINvvv_4S
1741    3226474070U,	// SMINvvv_8B
1742    1080038998U,	// SMINvvv_8H
1743    3289387132U,	// SMLAL2vvv_2d4s
1744    1145049212U,	// SMLAL2vvv_4s8h
1745    73404540U,	// SMLAL2vvv_8h16b
1746    1141904637U,	// SMLALvve_2d2s
1747    3289387132U,	// SMLALvve_2d4s
1748    2218792189U,	// SMLALvve_4s4h
1749    1145049212U,	// SMLALvve_4s8h
1750    1141904637U,	// SMLALvvv_2d2s
1751    2218792189U,	// SMLALvvv_4s4h
1752    3294631165U,	// SMLALvvv_8h8b
1753    3289387256U,	// SMLSL2vvv_2d4s
1754    1145049336U,	// SMLSL2vvv_4s8h
1755    73404664U,	// SMLSL2vvv_8h16b
1756    1141904858U,	// SMLSLvve_2d2s
1757    3289387256U,	// SMLSLvve_2d4s
1758    2218792410U,	// SMLSLvve_4s4h
1759    1145049336U,	// SMLSLvve_4s8h
1760    1141904858U,	// SMLSLvvv_2d2s
1761    2218792410U,	// SMLSLvvv_4s4h
1762    3294631386U,	// SMLSLvvv_8h8b
1763    2154834361U,	// SMOVwb
1764    1081092537U,	// SMOVwh
1765    2154834361U,	// SMOVxb
1766    1081092537U,	// SMOVxh
1767    7350713U,	// SMOVxs
1768    40903952U,	// SMSUBLxwwx
1769    40903719U,	// SMULHxxx
1770    3222278366U,	// SMULL2vvv_2d4s
1771    1077940446U,	// SMULL2vvv_4s8h
1772    6295774U,	// SMULL2vvv_8h16b
1773    1074795966U,	// SMULLve_2d2s
1774    3222278366U,	// SMULLve_2d4s
1775    2151683518U,	// SMULLve_4s4h
1776    1077940446U,	// SMULLve_4s8h
1777    1074795966U,	// SMULLvvv_2d2s
1778    2151683518U,	// SMULLvvv_4s4h
1779    3227522494U,	// SMULLvvv_8h8b
1780    6234U,	// SQABS16b
1781    1074796634U,	// SQABS2d
1782    2149587034U,	// SQABS2s
1783    3224377434U,	// SQABS4h
1784    4200538U,	// SQABS4s
1785    1078990938U,	// SQABS8b
1786    2153781338U,	// SQABS8h
1787    3262130266U,	// SQABSbb
1788    3262130266U,	// SQABSdd
1789    3262130266U,	// SQABShh
1790    3262130266U,	// SQABSss
1791    40903526U,	// SQADDbbb
1792    40903526U,	// SQADDddd
1793    40903526U,	// SQADDhhh
1794    40903526U,	// SQADDsss
1795    4966U,	// SQADDvvv_16B
1796    2148537190U,	// SQADDvvv_2D
1797    1075843942U,	// SQADDvvv_2S
1798    2150634342U,	// SQADDvvv_4H
1799    3225424742U,	// SQADDvvv_4S
1800    3226473318U,	// SQADDvvv_8B
1801    1080038246U,	// SQADDvvv_8H
1802    3289387122U,	// SQDMLAL2vvv_2d4s
1803    1145049202U,	// SQDMLAL2vvv_4s8h
1804    242230516U,	// SQDMLALdss
1805    242230516U,	// SQDMLALdsv_2S
1806    242230516U,	// SQDMLALdsv_4S
1807    242230516U,	// SQDMLALshh
1808    242230516U,	// SQDMLALshv_4H
1809    242230516U,	// SQDMLALshv_8H
1810    1141904628U,	// SQDMLALvve_2d2s
1811    3289387122U,	// SQDMLALvve_2d4s
1812    2218792180U,	// SQDMLALvve_4s4h
1813    1145049202U,	// SQDMLALvve_4s8h
1814    1141904628U,	// SQDMLALvvv_2d2s
1815    2218792180U,	// SQDMLALvvv_4s4h
1816    3289387246U,	// SQDMLSL2vvv_2d4s
1817    1145049326U,	// SQDMLSL2vvv_4s8h
1818    242230737U,	// SQDMLSLdss
1819    242230737U,	// SQDMLSLdsv_2S
1820    242230737U,	// SQDMLSLdsv_4S
1821    242230737U,	// SQDMLSLshh
1822    242230737U,	// SQDMLSLshv_4H
1823    242230737U,	// SQDMLSLshv_8H
1824    1141904849U,	// SQDMLSLvve_2d2s
1825    3289387246U,	// SQDMLSLvve_2d4s
1826    2218792401U,	// SQDMLSLvve_4s4h
1827    1145049326U,	// SQDMLSLvve_4s8h
1828    1141904849U,	// SQDMLSLvvv_2d2s
1829    2218792401U,	// SQDMLSLvvv_4s4h
1830    40903700U,	// SQDMULHhhh
1831    40903700U,	// SQDMULHhhv_4H
1832    40903700U,	// SQDMULHhhv_8H
1833    40903700U,	// SQDMULHsss
1834    40903700U,	// SQDMULHssv_2S
1835    40903700U,	// SQDMULHssv_4S
1836    1075844116U,	// SQDMULHve_2s4s
1837    2150634516U,	// SQDMULHve_4h8h
1838    3225424916U,	// SQDMULHve_4s4s
1839    1080038420U,	// SQDMULHve_8h8h
1840    1075844116U,	// SQDMULHvvv_2S
1841    2150634516U,	// SQDMULHvvv_4H
1842    3225424916U,	// SQDMULHvvv_4S
1843    1080038420U,	// SQDMULHvvv_8H
1844    3222278348U,	// SQDMULL2vvv_2d4s
1845    1077940428U,	// SQDMULL2vvv_4s8h
1846    40904110U,	// SQDMULLdss
1847    40904110U,	// SQDMULLdsv_2S
1848    40904110U,	// SQDMULLdsv_4S
1849    40904110U,	// SQDMULLshh
1850    40904110U,	// SQDMULLshv_4H
1851    40904110U,	// SQDMULLshv_8H
1852    1074795950U,	// SQDMULLve_2d2s
1853    3222278348U,	// SQDMULLve_2d4s
1854    2151683502U,	// SQDMULLve_4s4h
1855    1077940428U,	// SQDMULLve_4s8h
1856    1074795950U,	// SQDMULLvvv_2d2s
1857    2151683502U,	// SQDMULLvvv_4s4h
1858    5093U,	// SQNEG16b
1859    1074795493U,	// SQNEG2d
1860    2149585893U,	// SQNEG2s
1861    3224376293U,	// SQNEG4h
1862    4199397U,	// SQNEG4s
1863    1078989797U,	// SQNEG8b
1864    2153780197U,	// SQNEG8h
1865    3262129125U,	// SQNEGbb
1866    3262129125U,	// SQNEGdd
1867    3262129125U,	// SQNEGhh
1868    3262129125U,	// SQNEGss
1869    40903709U,	// SQRDMULHhhh
1870    40903709U,	// SQRDMULHhhv_4H
1871    40903709U,	// SQRDMULHhhv_8H
1872    40903709U,	// SQRDMULHsss
1873    40903709U,	// SQRDMULHssv_2S
1874    40903709U,	// SQRDMULHssv_4S
1875    1075844125U,	// SQRDMULHve_2s4s
1876    2150634525U,	// SQRDMULHve_4h8h
1877    3225424925U,	// SQRDMULHve_4s4s
1878    1080038429U,	// SQRDMULHve_8h8h
1879    1075844125U,	// SQRDMULHvvv_2S
1880    2150634525U,	// SQRDMULHvvv_4H
1881    3225424925U,	// SQRDMULHvvv_4S
1882    1080038429U,	// SQRDMULHvvv_8H
1883    40904047U,	// SQRSHLbbb
1884    40904047U,	// SQRSHLddd
1885    40904047U,	// SQRSHLhhh
1886    40904047U,	// SQRSHLsss
1887    5487U,	// SQRSHLvvv_16B
1888    2148537711U,	// SQRSHLvvv_2D
1889    1075844463U,	// SQRSHLvvv_2S
1890    2150634863U,	// SQRSHLvvv_4H
1891    3225425263U,	// SQRSHLvvv_4S
1892    3226473839U,	// SQRSHLvvv_8B
1893    1080038767U,	// SQRSHLvvv_8H
1894    40904317U,	// SQRSHRNbhi
1895    40904317U,	// SQRSHRNhsi
1896    40904317U,	// SQRSHRNsdi
1897    1140855092U,	// SQRSHRNvvi_16B
1898    2149586557U,	// SQRSHRNvvi_2S
1899    3224376957U,	// SQRSHRNvvi_4H
1900    2218791220U,	// SQRSHRNvvi_4S
1901    1078990461U,	// SQRSHRNvvi_8B
1902    3294630196U,	// SQRSHRNvvi_8H
1903    40904378U,	// SQRSHRUNbhi
1904    40904378U,	// SQRSHRUNhsi
1905    40904378U,	// SQRSHRUNsdi
1906    40905008U,	// SQSHLUbbi
1907    40905008U,	// SQSHLUddi
1908    40905008U,	// SQSHLUhhi
1909    40905008U,	// SQSHLUssi
1910    6448U,	// SQSHLUvvi_16B
1911    2148538672U,	// SQSHLUvvi_2D
1912    1075845424U,	// SQSHLUvvi_2S
1913    2150635824U,	// SQSHLUvvi_4H
1914    3225426224U,	// SQSHLUvvi_4S
1915    3226474800U,	// SQSHLUvvi_8B
1916    1080039728U,	// SQSHLUvvi_8H
1917    40904033U,	// SQSHLbbb
1918    40904033U,	// SQSHLbbi
1919    40904033U,	// SQSHLddd
1920    40904033U,	// SQSHLddi
1921    40904033U,	// SQSHLhhh
1922    40904033U,	// SQSHLhhi
1923    40904033U,	// SQSHLssi
1924    40904033U,	// SQSHLsss
1925    5473U,	// SQSHLvvi_16B
1926    2148537697U,	// SQSHLvvi_2D
1927    1075844449U,	// SQSHLvvi_2S
1928    2150634849U,	// SQSHLvvi_4H
1929    3225425249U,	// SQSHLvvi_4S
1930    3226473825U,	// SQSHLvvi_8B
1931    1080038753U,	// SQSHLvvi_8H
1932    5473U,	// SQSHLvvv_16B
1933    2148537697U,	// SQSHLvvv_2D
1934    1075844449U,	// SQSHLvvv_2S
1935    2150634849U,	// SQSHLvvv_4H
1936    3225425249U,	// SQSHLvvv_4S
1937    3226473825U,	// SQSHLvvv_8B
1938    1080038753U,	// SQSHLvvv_8H
1939    40904301U,	// SQSHRNbhi
1940    40904301U,	// SQSHRNhsi
1941    40904301U,	// SQSHRNsdi
1942    1140855074U,	// SQSHRNvvi_16B
1943    2149586541U,	// SQSHRNvvi_2S
1944    3224376941U,	// SQSHRNvvi_4H
1945    2218791202U,	// SQSHRNvvi_4S
1946    1078990445U,	// SQSHRNvvi_8B
1947    3294630178U,	// SQSHRNvvi_8H
1948    40904369U,	// SQSHRUNbhi
1949    40904369U,	// SQSHRUNhsi
1950    40904369U,	// SQSHRUNsdi
1951    40903388U,	// SQSUBbbb
1952    40903388U,	// SQSUBddd
1953    40903388U,	// SQSUBhhh
1954    40903388U,	// SQSUBsss
1955    4828U,	// SQSUBvvv_16B
1956    2148537052U,	// SQSUBvvv_2D
1957    1075843804U,	// SQSUBvvv_2S
1958    2150634204U,	// SQSUBvvv_4H
1959    3225424604U,	// SQSUBvvv_4S
1960    3226473180U,	// SQSUBvvv_8B
1961    1080038108U,	// SQSUBvvv_8H
1962    1075844771U,	// SQXTN2d2s
1963    1145049430U,	// SQXTN2d4s
1964    3151523U,	// SQXTN4s4h
1965    73404758U,	// SQXTN4s8h
1966    2214596950U,	// SQXTN8h16b
1967    2152732323U,	// SQXTN8h8b
1968    3262129827U,	// SQXTNbh
1969    3262129827U,	// SQXTNhs
1970    3262129827U,	// SQXTNsd
1971    1075844804U,	// SQXTUN2d2s
1972    1145049467U,	// SQXTUN2d4s
1973    3151556U,	// SQXTUN4s4h
1974    73404795U,	// SQXTUN4s8h
1975    2214596987U,	// SQXTUN8h16b
1976    2152732356U,	// SQXTUN8h8b
1977    3262129860U,	// SQXTUNbh
1978    3262129860U,	// SQXTUNhs
1979    3262129860U,	// SQXTUNsd
1980    4920U,	// SRHADDvvv_16B
1981    1075843896U,	// SRHADDvvv_2S
1982    2150634296U,	// SRHADDvvv_4H
1983    3225424696U,	// SRHADDvvv_4S
1984    3226473272U,	// SRHADDvvv_8B
1985    1080038200U,	// SRHADDvvv_8H
1986    242230472U,	// SRI
1987    67114184U,	// SRIvvi_16B
1988    2215646408U,	// SRIvvi_2D
1989    1142953160U,	// SRIvvi_2S
1990    2217743560U,	// SRIvvi_4H
1991    3292533960U,	// SRIvvi_4S
1992    3293582536U,	// SRIvvi_8B
1993    1147147464U,	// SRIvvi_8H
1994    40904063U,	// SRSHLddd
1995    5503U,	// SRSHLvvv_16B
1996    2148537727U,	// SRSHLvvv_2D
1997    1075844479U,	// SRSHLvvv_2S
1998    2150634879U,	// SRSHLvvv_4H
1999    3225425279U,	// SRSHLvvv_4S
2000    3226473855U,	// SRSHLvvv_8B
2001    1080038783U,	// SRSHLvvv_8H
2002    40904652U,	// SRSHRddi
2003    6092U,	// SRSHRvvi_16B
2004    2148538316U,	// SRSHRvvi_2D
2005    1075845068U,	// SRSHRvvi_2S
2006    2150635468U,	// SRSHRvvi_4H
2007    3225425868U,	// SRSHRvvi_4S
2008    3226474444U,	// SRSHRvvi_8B
2009    1080039372U,	// SRSHRvvi_8H
2010    242229760U,	// SRSRA
2011    67113472U,	// SRSRAvvi_16B
2012    2215645696U,	// SRSRAvvi_2D
2013    1142952448U,	// SRSRAvvi_2S
2014    2217742848U,	// SRSRAvvi_4H
2015    3292533248U,	// SRSRAvvi_4S
2016    3293581824U,	// SRSRAvvi_8B
2017    1147146752U,	// SRSRAvvi_8H
2018    6295740U,	// SSHLLvvi_16B
2019    1074795936U,	// SSHLLvvi_2S
2020    2151683488U,	// SSHLLvvi_4H
2021    3222278332U,	// SSHLLvvi_4S
2022    3227522464U,	// SSHLLvvi_8B
2023    1077940412U,	// SSHLLvvi_8H
2024    40904077U,	// SSHLddd
2025    5517U,	// SSHLvvv_16B
2026    2148537741U,	// SSHLvvv_2D
2027    1075844493U,	// SSHLvvv_2S
2028    2150634893U,	// SSHLvvv_4H
2029    3225425293U,	// SSHLvvv_4S
2030    3226473869U,	// SSHLvvv_8B
2031    1080038797U,	// SSHLvvv_8H
2032    40904666U,	// SSHRddi
2033    6106U,	// SSHRvvi_16B
2034    2148538330U,	// SSHRvvi_2D
2035    1075845082U,	// SSHRvvi_2S
2036    2150635482U,	// SSHRvvi_4H
2037    3225425882U,	// SSHRvvi_4S
2038    3226474458U,	// SSHRvvi_8B
2039    1080039386U,	// SSHRvvi_8H
2040    242229774U,	// SSRA
2041    67113486U,	// SSRAvvi_16B
2042    2215645710U,	// SSRAvvi_2D
2043    1142952462U,	// SSRAvvi_2S
2044    2217742862U,	// SSRAvvi_4H
2045    3292533262U,	// SSRAvvi_4S
2046    3293581838U,	// SSRAvvi_8B
2047    1147146766U,	// SSRAvvi_8H
2048    3222278284U,	// SSUBL2vvv_2d4s
2049    1077940364U,	// SSUBL2vvv_4s8h
2050    6295692U,	// SSUBL2vvv_8h16b
2051    1074795808U,	// SSUBLvvv_2d2s
2052    2151683360U,	// SSUBLvvv_4s4h
2053    3227522336U,	// SSUBLvvv_8h8b
2054    2148536741U,	// SSUBW2vvv_2d4s
2055    3225424293U,	// SSUBW2vvv_4s8h
2056    1080037797U,	// SSUBW2vvv_8h16b
2057    2148538850U,	// SSUBWvvv_2d2s
2058    3225426402U,	// SSUBWvvv_4s4h
2059    1080039906U,	// SSUBWvvv_8h8b
2060    254003U,	// ST1LN_B
2061    258099U,	// ST1LN_D
2062    262195U,	// ST1LN_H
2063    266291U,	// ST1LN_S
2064    270387U,	// ST1LN_WB_B_fixed
2065    270387U,	// ST1LN_WB_B_register
2066    274483U,	// ST1LN_WB_D_fixed
2067    274483U,	// ST1LN_WB_D_register
2068    278579U,	// ST1LN_WB_H_fixed
2069    278579U,	// ST1LN_WB_H_register
2070    282675U,	// ST1LN_WB_S_fixed
2071    282675U,	// ST1LN_WB_S_register
2072    286771U,	// ST1WB_16B_fixed
2073    286771U,	// ST1WB_16B_register
2074    290867U,	// ST1WB_1D_fixed
2075    290867U,	// ST1WB_1D_register
2076    294963U,	// ST1WB_2D_fixed
2077    294963U,	// ST1WB_2D_register
2078    299059U,	// ST1WB_2S_fixed
2079    299059U,	// ST1WB_2S_register
2080    303155U,	// ST1WB_4H_fixed
2081    303155U,	// ST1WB_4H_register
2082    307251U,	// ST1WB_4S_fixed
2083    307251U,	// ST1WB_4S_register
2084    311347U,	// ST1WB_8B_fixed
2085    311347U,	// ST1WB_8B_register
2086    315443U,	// ST1WB_8H_fixed
2087    315443U,	// ST1WB_8H_register
2088    319539U,	// ST1_16B
2089    323635U,	// ST1_1D
2090    327731U,	// ST1_2D
2091    331827U,	// ST1_2S
2092    335923U,	// ST1_4H
2093    340019U,	// ST1_4S
2094    344115U,	// ST1_8B
2095    348211U,	// ST1_8H
2096    352307U,	// ST1x2WB_16B_fixed
2097    352307U,	// ST1x2WB_16B_register
2098    356403U,	// ST1x2WB_1D_fixed
2099    356403U,	// ST1x2WB_1D_register
2100    360499U,	// ST1x2WB_2D_fixed
2101    360499U,	// ST1x2WB_2D_register
2102    364595U,	// ST1x2WB_2S_fixed
2103    364595U,	// ST1x2WB_2S_register
2104    368691U,	// ST1x2WB_4H_fixed
2105    368691U,	// ST1x2WB_4H_register
2106    372787U,	// ST1x2WB_4S_fixed
2107    372787U,	// ST1x2WB_4S_register
2108    376883U,	// ST1x2WB_8B_fixed
2109    376883U,	// ST1x2WB_8B_register
2110    380979U,	// ST1x2WB_8H_fixed
2111    380979U,	// ST1x2WB_8H_register
2112    385075U,	// ST1x2_16B
2113    389171U,	// ST1x2_1D
2114    393267U,	// ST1x2_2D
2115    397363U,	// ST1x2_2S
2116    401459U,	// ST1x2_4H
2117    405555U,	// ST1x2_4S
2118    409651U,	// ST1x2_8B
2119    413747U,	// ST1x2_8H
2120    417843U,	// ST1x3WB_16B_fixed
2121    417843U,	// ST1x3WB_16B_register
2122    421939U,	// ST1x3WB_1D_fixed
2123    421939U,	// ST1x3WB_1D_register
2124    426035U,	// ST1x3WB_2D_fixed
2125    426035U,	// ST1x3WB_2D_register
2126    430131U,	// ST1x3WB_2S_fixed
2127    430131U,	// ST1x3WB_2S_register
2128    434227U,	// ST1x3WB_4H_fixed
2129    434227U,	// ST1x3WB_4H_register
2130    438323U,	// ST1x3WB_4S_fixed
2131    438323U,	// ST1x3WB_4S_register
2132    442419U,	// ST1x3WB_8B_fixed
2133    442419U,	// ST1x3WB_8B_register
2134    446515U,	// ST1x3WB_8H_fixed
2135    446515U,	// ST1x3WB_8H_register
2136    450611U,	// ST1x3_16B
2137    454707U,	// ST1x3_1D
2138    458803U,	// ST1x3_2D
2139    462899U,	// ST1x3_2S
2140    466995U,	// ST1x3_4H
2141    471091U,	// ST1x3_4S
2142    475187U,	// ST1x3_8B
2143    479283U,	// ST1x3_8H
2144    483379U,	// ST1x4WB_16B_fixed
2145    483379U,	// ST1x4WB_16B_register
2146    487475U,	// ST1x4WB_1D_fixed
2147    487475U,	// ST1x4WB_1D_register
2148    491571U,	// ST1x4WB_2D_fixed
2149    491571U,	// ST1x4WB_2D_register
2150    495667U,	// ST1x4WB_2S_fixed
2151    495667U,	// ST1x4WB_2S_register
2152    499763U,	// ST1x4WB_4H_fixed
2153    499763U,	// ST1x4WB_4H_register
2154    503859U,	// ST1x4WB_4S_fixed
2155    503859U,	// ST1x4WB_4S_register
2156    507955U,	// ST1x4WB_8B_fixed
2157    507955U,	// ST1x4WB_8B_register
2158    512051U,	// ST1x4WB_8H_fixed
2159    512051U,	// ST1x4WB_8H_register
2160    516147U,	// ST1x4_16B
2161    520243U,	// ST1x4_1D
2162    524339U,	// ST1x4_2D
2163    528435U,	// ST1x4_2S
2164    532531U,	// ST1x4_4H
2165    536627U,	// ST1x4_4S
2166    540723U,	// ST1x4_8B
2167    544819U,	// ST1x4_8H
2168    549280U,	// ST2LN_B
2169    553376U,	// ST2LN_D
2170    557472U,	// ST2LN_H
2171    561568U,	// ST2LN_S
2172    565664U,	// ST2LN_WB_B_fixed
2173    565664U,	// ST2LN_WB_B_register
2174    569760U,	// ST2LN_WB_D_fixed
2175    569760U,	// ST2LN_WB_D_register
2176    573856U,	// ST2LN_WB_H_fixed
2177    573856U,	// ST2LN_WB_H_register
2178    577952U,	// ST2LN_WB_S_fixed
2179    577952U,	// ST2LN_WB_S_register
2180    352672U,	// ST2WB_16B_fixed
2181    352672U,	// ST2WB_16B_register
2182    360864U,	// ST2WB_2D_fixed
2183    360864U,	// ST2WB_2D_register
2184    364960U,	// ST2WB_2S_fixed
2185    364960U,	// ST2WB_2S_register
2186    369056U,	// ST2WB_4H_fixed
2187    369056U,	// ST2WB_4H_register
2188    373152U,	// ST2WB_4S_fixed
2189    373152U,	// ST2WB_4S_register
2190    377248U,	// ST2WB_8B_fixed
2191    377248U,	// ST2WB_8B_register
2192    381344U,	// ST2WB_8H_fixed
2193    381344U,	// ST2WB_8H_register
2194    385440U,	// ST2_16B
2195    393632U,	// ST2_2D
2196    397728U,	// ST2_2S
2197    401824U,	// ST2_4H
2198    405920U,	// ST2_4S
2199    410016U,	// ST2_8B
2200    414112U,	// ST2_8H
2201    582097U,	// ST3LN_B
2202    586193U,	// ST3LN_D
2203    590289U,	// ST3LN_H
2204    594385U,	// ST3LN_S
2205    598481U,	// ST3LN_WB_B_fixed
2206    598481U,	// ST3LN_WB_B_register
2207    602577U,	// ST3LN_WB_D_fixed
2208    602577U,	// ST3LN_WB_D_register
2209    606673U,	// ST3LN_WB_H_fixed
2210    606673U,	// ST3LN_WB_H_register
2211    610769U,	// ST3LN_WB_S_fixed
2212    610769U,	// ST3LN_WB_S_register
2213    418257U,	// ST3WB_16B_fixed
2214    418257U,	// ST3WB_16B_register
2215    426449U,	// ST3WB_2D_fixed
2216    426449U,	// ST3WB_2D_register
2217    430545U,	// ST3WB_2S_fixed
2218    430545U,	// ST3WB_2S_register
2219    434641U,	// ST3WB_4H_fixed
2220    434641U,	// ST3WB_4H_register
2221    438737U,	// ST3WB_4S_fixed
2222    438737U,	// ST3WB_4S_register
2223    442833U,	// ST3WB_8B_fixed
2224    442833U,	// ST3WB_8B_register
2225    446929U,	// ST3WB_8H_fixed
2226    446929U,	// ST3WB_8H_register
2227    451025U,	// ST3_16B
2228    459217U,	// ST3_2D
2229    463313U,	// ST3_2S
2230    467409U,	// ST3_4H
2231    471505U,	// ST3_4S
2232    475601U,	// ST3_8B
2233    479697U,	// ST3_8H
2234    614882U,	// ST4LN_B
2235    618978U,	// ST4LN_D
2236    623074U,	// ST4LN_H
2237    627170U,	// ST4LN_S
2238    631266U,	// ST4LN_WB_B_fixed
2239    631266U,	// ST4LN_WB_B_register
2240    635362U,	// ST4LN_WB_D_fixed
2241    635362U,	// ST4LN_WB_D_register
2242    639458U,	// ST4LN_WB_H_fixed
2243    639458U,	// ST4LN_WB_H_register
2244    643554U,	// ST4LN_WB_S_fixed
2245    643554U,	// ST4LN_WB_S_register
2246    483810U,	// ST4WB_16B_fixed
2247    483810U,	// ST4WB_16B_register
2248    492002U,	// ST4WB_2D_fixed
2249    492002U,	// ST4WB_2D_register
2250    496098U,	// ST4WB_2S_fixed
2251    496098U,	// ST4WB_2S_register
2252    500194U,	// ST4WB_4H_fixed
2253    500194U,	// ST4WB_4H_register
2254    504290U,	// ST4WB_4S_fixed
2255    504290U,	// ST4WB_4S_register
2256    508386U,	// ST4WB_8B_fixed
2257    508386U,	// ST4WB_8B_register
2258    512482U,	// ST4WB_8H_fixed
2259    512482U,	// ST4WB_8H_register
2260    516578U,	// ST4_16B
2261    524770U,	// ST4_2D
2262    528866U,	// ST4_2S
2263    532962U,	// ST4_4H
2264    537058U,	// ST4_4S
2265    541154U,	// ST4_8B
2266    545250U,	// ST4_8H
2267    51388997U,	// STLR_byte
2268    51390443U,	// STLR_dword
2269    51389506U,	// STLR_hword
2270    51390443U,	// STLR_word
2271    40904588U,	// STLXP_dword
2272    40904588U,	// STLXP_word
2273    1114645117U,	// STLXR_byte
2274    1114646591U,	// STLXR_dword
2275    1114645626U,	// STLXR_hword
2276    1114646591U,	// STLXR_word
2277    40904595U,	// STXP_dword
2278    40904595U,	// STXP_word
2279    1114645125U,	// STXR_byte
2280    1114646598U,	// STXR_dword
2281    1114645634U,	// STXR_hword
2282    1114646598U,	// STXR_word
2283    1140855057U,	// SUBHN2vvv_16b8h
2284    2218791185U,	// SUBHN2vvv_4s2d
2285    3294630161U,	// SUBHN2vvv_8h4s
2286    2149586497U,	// SUBHNvvv_2s2d
2287    3224376897U,	// SUBHNvvv_4h4s
2288    1078990401U,	// SUBHNvvv_8b8h
2289    40904801U,	// SUBSwww_asr
2290    40904801U,	// SUBSwww_lsl
2291    40904801U,	// SUBSwww_lsr
2292    40904801U,	// SUBSwww_sxtb
2293    40904801U,	// SUBSwww_sxth
2294    40904801U,	// SUBSwww_sxtw
2295    40904801U,	// SUBSwww_sxtx
2296    40904801U,	// SUBSwww_uxtb
2297    40904801U,	// SUBSwww_uxth
2298    40904801U,	// SUBSwww_uxtw
2299    40904801U,	// SUBSwww_uxtx
2300    40904801U,	// SUBSxxw_sxtb
2301    40904801U,	// SUBSxxw_sxth
2302    40904801U,	// SUBSxxw_sxtw
2303    40904801U,	// SUBSxxw_uxtb
2304    40904801U,	// SUBSxxw_uxth
2305    40904801U,	// SUBSxxw_uxtw
2306    40904801U,	// SUBSxxx_asr
2307    40904801U,	// SUBSxxx_lsl
2308    40904801U,	// SUBSxxx_lsr
2309    40904801U,	// SUBSxxx_sxtx
2310    40904801U,	// SUBSxxx_uxtx
2311    40903354U,	// SUBddd
2312    4794U,	// SUBvvv_16B
2313    2148537018U,	// SUBvvv_2D
2314    1075843770U,	// SUBvvv_2S
2315    2150634170U,	// SUBvvv_4H
2316    3225424570U,	// SUBvvv_4S
2317    3226473146U,	// SUBvvv_8B
2318    1080038074U,	// SUBvvv_8H
2319    40904801U,	// SUBwwi_lsl0_S
2320    108014272U,	// SUBwwi_lsl0_cmp
2321    40903354U,	// SUBwwi_lsl0_s
2322    40904801U,	// SUBwwi_lsl12_S
2323    141568704U,	// SUBwwi_lsl12_cmp
2324    40903354U,	// SUBwwi_lsl12_s
2325    40903354U,	// SUBwww_asr
2326    40903354U,	// SUBwww_lsl
2327    40903354U,	// SUBwww_lsr
2328    40903354U,	// SUBwww_sxtb
2329    40903354U,	// SUBwww_sxth
2330    40903354U,	// SUBwww_sxtw
2331    40903354U,	// SUBwww_sxtx
2332    40903354U,	// SUBwww_uxtb
2333    40903354U,	// SUBwww_uxth
2334    40903354U,	// SUBwww_uxtw
2335    40903354U,	// SUBwww_uxtx
2336    40904801U,	// SUBxxi_lsl0_S
2337    108014272U,	// SUBxxi_lsl0_cmp
2338    40903354U,	// SUBxxi_lsl0_s
2339    40904801U,	// SUBxxi_lsl12_S
2340    141568704U,	// SUBxxi_lsl12_cmp
2341    40903354U,	// SUBxxi_lsl12_s
2342    40903354U,	// SUBxxw_sxtb
2343    40903354U,	// SUBxxw_sxth
2344    40903354U,	// SUBxxw_sxtw
2345    40903354U,	// SUBxxw_uxtb
2346    40903354U,	// SUBxxw_uxth
2347    40903354U,	// SUBxxw_uxtw
2348    40903354U,	// SUBxxx_asr
2349    40903354U,	// SUBxxx_lsl
2350    40903354U,	// SUBxxx_lsr
2351    40903354U,	// SUBxxx_sxtx
2352    40903354U,	// SUBxxx_uxtx
2353    67113837U,	// SUQADD16b
2354    1141904237U,	// SUQADD2d
2355    2216694637U,	// SUQADD2s
2356    3291485037U,	// SUQADD4h
2357    71308141U,	// SUQADD4s
2358    1146098541U,	// SUQADD8b
2359    2220888941U,	// SUQADD8h
2360    3463455597U,	// SUQADDbb
2361    3463455597U,	// SUQADDdd
2362    3463455597U,	// SUQADDhh
2363    3463455597U,	// SUQADDss
2364    8397595U,	// SVCi
2365    3262128813U,	// SXTBww
2366    3262128813U,	// SXTBxw
2367    3262129312U,	// SXTHww
2368    3262129312U,	// SXTHxw
2369    3262130725U,	// SXTWxw
2370    40904168U,	// SYSLxicci
2371    711993545U,	// SYSiccix
2372    0U,	// TAIL_BRx
2373    0U,	// TAIL_Bimm
2374    738202891U,	// TBL1_16b
2375    1817187595U,	// TBL1_8b
2376    771757323U,	// TBL2_16b
2377    1850742027U,	// TBL2_8b
2378    805311755U,	// TBL3_16b
2379    1884296459U,	// TBL3_8b
2380    838866187U,	// TBL4_16b
2381    1917850891U,	// TBL4_8b
2382    40905376U,	// TBNZwii
2383    40905376U,	// TBNZxii
2384    872421957U,	// TBX1_16b
2385    1951406661U,	// TBX1_8b
2386    905976389U,	// TBX2_16b
2387    1984961093U,	// TBX2_8b
2388    939530821U,	// TBX3_16b
2389    2018515525U,	// TBX3_8b
2390    973085253U,	// TBX4_16b
2391    2052069957U,	// TBX4_8b
2392    40905346U,	// TBZwii
2393    40905346U,	// TBZxii
2394    0U,	// TC_RETURNdi
2395    0U,	// TC_RETURNxi
2396    9036972U,	// TLBIi
2397    3262768300U,	// TLBIix
2398    0U,	// TLSDESCCALL
2399    0U,	// TLSDESC_BLRx
2400    4122U,	// TRN1vvv_16b
2401    2148536346U,	// TRN1vvv_2d
2402    1075843098U,	// TRN1vvv_2s
2403    2150633498U,	// TRN1vvv_4h
2404    3225423898U,	// TRN1vvv_4s
2405    3226472474U,	// TRN1vvv_8b
2406    1080037402U,	// TRN1vvv_8h
2407    4424U,	// TRN2vvv_16b
2408    2148536648U,	// TRN2vvv_2d
2409    1075843400U,	// TRN2vvv_2s
2410    2150633800U,	// TRN2vvv_4h
2411    3225424200U,	// TRN2vvv_4s
2412    3226472776U,	// TRN2vvv_8b
2413    1080037704U,	// TRN2vvv_8h
2414    40904984U,	// TSTww_asr
2415    40904984U,	// TSTww_lsl
2416    40904984U,	// TSTww_lsr
2417    40904984U,	// TSTww_ror
2418    40904984U,	// TSTxx_asr
2419    40904984U,	// TSTxx_lsl
2420    40904984U,	// TSTxx_lsr
2421    40904984U,	// TSTxx_ror
2422    3289387114U,	// UABAL2vvv_2d2s
2423    1145049194U,	// UABAL2vvv_4s4h
2424    73404522U,	// UABAL2vvv_8h8b
2425    1141904621U,	// UABALvvv_2d2s
2426    2218792173U,	// UABALvvv_4s4h
2427    3294631149U,	// UABALvvv_8h8b
2428    67113460U,	// UABAvvv_16B
2429    1142952436U,	// UABAvvv_2S
2430    2217742836U,	// UABAvvv_4H
2431    3292533236U,	// UABAvvv_4S
2432    3293581812U,	// UABAvvv_8B
2433    1147146740U,	// UABAvvv_8H
2434    3222278308U,	// UABDL2vvv_2d2s
2435    1077940388U,	// UABDL2vvv_4s4h
2436    6295716U,	// UABDL2vvv_8h8b
2437    1074795829U,	// UABDLvvv_2d2s
2438    2151683381U,	// UABDLvvv_4s4h
2439    3227522357U,	// UABDLvvv_8h8b
2440    4908U,	// UABDvvv_16B
2441    1075843884U,	// UABDvvv_2S
2442    2150634284U,	// UABDvvv_4H
2443    3225424684U,	// UABDvvv_4S
2444    3226473260U,	// UABDvvv_8B
2445    1080038188U,	// UABDvvv_8H
2446    73406202U,	// UADALP16b8h
2447    2234521338U,	// UADALP2s1d
2448    3290437370U,	// UADALP4h2s
2449    68163322U,	// UADALP4s2d
2450    1144002298U,	// UADALP8b4h
2451    2218792698U,	// UADALP8h4s
2452    3222278324U,	// UADDL2vvv_2d4s
2453    1077940404U,	// UADDL2vvv_4s8h
2454    6295732U,	// UADDL2vvv_8h16b
2455    6297354U,	// UADDLP16b8h
2456    2167412490U,	// UADDLP2s1d
2457    3223328522U,	// UADDLP4h2s
2458    1054474U,	// UADDLP4s2d
2459    1076893450U,	// UADDLP8b4h
2460    2151683850U,	// UADDLP8h4s
2461    7350653U,	// UADDLV_1d4s
2462    7350653U,	// UADDLV_1h16b
2463    1081092477U,	// UADDLV_1h8b
2464    3228576125U,	// UADDLV_1s4h
2465    2154834301U,	// UADDLV_1s8h
2466    1074795859U,	// UADDLvvv_2d2s
2467    2151683411U,	// UADDLvvv_4s4h
2468    3227522387U,	// UADDLvvv_8h8b
2469    2148536765U,	// UADDW2vvv_2d4s
2470    3225424317U,	// UADDW2vvv_4s8h
2471    1080037821U,	// UADDW2vvv_8h16b
2472    2148538880U,	// UADDWvvv_2d2s
2473    3225426432U,	// UADDWvvv_4s4h
2474    1080039936U,	// UADDWvvv_8h8b
2475    40905358U,	// UBFIZwwii
2476    40905358U,	// UBFIZxxii
2477    40904213U,	// UBFMwwii
2478    40904213U,	// UBFMxxii
2479    40905312U,	// UBFXwwii
2480    40905312U,	// UBFXxxii
2481    1074795480U,	// UCVTF_2d
2482    2149585880U,	// UCVTF_2s
2483    4199384U,	// UCVTF_4s
2484    40903640U,	// UCVTF_Nddi
2485    40903640U,	// UCVTF_Nssi
2486    3262129112U,	// UCVTFdd
2487    3262129112U,	// UCVTFdw
2488    40903640U,	// UCVTFdwi
2489    3262129112U,	// UCVTFdx
2490    40903640U,	// UCVTFdxi
2491    3262129112U,	// UCVTFss
2492    3262129112U,	// UCVTFsw
2493    40903640U,	// UCVTFswi
2494    3262129112U,	// UCVTFsx
2495    40903640U,	// UCVTFsxi
2496    40905071U,	// UDIVwww
2497    40905071U,	// UDIVxxx
2498    4943U,	// UHADDvvv_16B
2499    1075843919U,	// UHADDvvv_2S
2500    2150634319U,	// UHADDvvv_4H
2501    3225424719U,	// UHADDvvv_4S
2502    3226473295U,	// UHADDvvv_8B
2503    1080038223U,	// UHADDvvv_8H
2504    4806U,	// UHSUBvvv_16B
2505    1075843782U,	// UHSUBvvv_2S
2506    2150634182U,	// UHSUBvvv_4H
2507    3225424582U,	// UHSUBvvv_4S
2508    3226473158U,	// UHSUBvvv_8B
2509    1080038086U,	// UHSUBvvv_8H
2510    40904004U,	// UMADDLxwwx
2511    6015U,	// UMAXPvvv_16B
2512    1075844991U,	// UMAXPvvv_2S
2513    2150635391U,	// UMAXPvvv_4H
2514    3225425791U,	// UMAXPvvv_4S
2515    3226474367U,	// UMAXPvvv_8B
2516    1080039295U,	// UMAXPvvv_8H
2517    7350739U,	// UMAXV_1b16b
2518    1081092563U,	// UMAXV_1b8b
2519    3228576211U,	// UMAXV_1h4h
2520    2154834387U,	// UMAXV_1h8h
2521    7350739U,	// UMAXV_1s4s
2522    6719U,	// UMAXvvv_16B
2523    1075845695U,	// UMAXvvv_2S
2524    2150636095U,	// UMAXvvv_4H
2525    3225426495U,	// UMAXvvv_4S
2526    3226475071U,	// UMAXvvv_8B
2527    1080039999U,	// UMAXvvv_8H
2528    5957U,	// UMINPvvv_16B
2529    1075844933U,	// UMINPvvv_2S
2530    2150635333U,	// UMINPvvv_4H
2531    3225425733U,	// UMINPvvv_4S
2532    3226474309U,	// UMINPvvv_8B
2533    1080039237U,	// UMINPvvv_8H
2534    7350693U,	// UMINV_1b16b
2535    1081092517U,	// UMINV_1b8b
2536    3228576165U,	// UMINV_1h4h
2537    2154834341U,	// UMINV_1h8h
2538    7350693U,	// UMINV_1s4s
2539    5724U,	// UMINvvv_16B
2540    1075844700U,	// UMINvvv_2S
2541    2150635100U,	// UMINvvv_4H
2542    3225425500U,	// UMINvvv_4S
2543    3226474076U,	// UMINvvv_8B
2544    1080039004U,	// UMINvvv_8H
2545    3289387140U,	// UMLAL2vvv_2d4s
2546    1145049220U,	// UMLAL2vvv_4s8h
2547    73404548U,	// UMLAL2vvv_8h16b
2548    1141904644U,	// UMLALvve_2d2s
2549    3289387140U,	// UMLALvve_2d4s
2550    2218792196U,	// UMLALvve_4s4h
2551    1145049220U,	// UMLALvve_4s8h
2552    1141904644U,	// UMLALvvv_2d2s
2553    2218792196U,	// UMLALvvv_4s4h
2554    3294631172U,	// UMLALvvv_8h8b
2555    3289387264U,	// UMLSL2vvv_2d4s
2556    1145049344U,	// UMLSL2vvv_4s8h
2557    73404672U,	// UMLSL2vvv_8h16b
2558    1141904865U,	// UMLSLvve_2d2s
2559    3289387264U,	// UMLSLvve_2d4s
2560    2218792417U,	// UMLSLvve_4s4h
2561    1145049344U,	// UMLSLvve_4s8h
2562    1141904865U,	// UMLSLvvv_2d2s
2563    2218792417U,	// UMLSLvvv_4s4h
2564    3294631393U,	// UMLSLvvv_8h8b
2565    2154834367U,	// UMOVwb
2566    1081092543U,	// UMOVwh
2567    7350719U,	// UMOVws
2568    3228576191U,	// UMOVxd
2569    40903960U,	// UMSUBLxwwx
2570    40903726U,	// UMULHxxx
2571    3222278374U,	// UMULL2vvv_2d4s
2572    1077940454U,	// UMULL2vvv_4s8h
2573    6295782U,	// UMULL2vvv_8h16b
2574    1074795973U,	// UMULLve_2d2s
2575    3222278374U,	// UMULLve_2d4s
2576    2151683525U,	// UMULLve_4s4h
2577    1077940454U,	// UMULLve_4s8h
2578    1074795973U,	// UMULLvvv_2d2s
2579    2151683525U,	// UMULLvvv_4s4h
2580    3227522501U,	// UMULLvvv_8h8b
2581    40903534U,	// UQADDbbb
2582    40903534U,	// UQADDddd
2583    40903534U,	// UQADDhhh
2584    40903534U,	// UQADDsss
2585    4974U,	// UQADDvvv_16B
2586    2148537198U,	// UQADDvvv_2D
2587    1075843950U,	// UQADDvvv_2S
2588    2150634350U,	// UQADDvvv_4H
2589    3225424750U,	// UQADDvvv_4S
2590    3226473326U,	// UQADDvvv_8B
2591    1080038254U,	// UQADDvvv_8H
2592    40904055U,	// UQRSHLbbb
2593    40904055U,	// UQRSHLddd
2594    40904055U,	// UQRSHLhhh
2595    40904055U,	// UQRSHLsss
2596    5495U,	// UQRSHLvvv_16B
2597    2148537719U,	// UQRSHLvvv_2D
2598    1075844471U,	// UQRSHLvvv_2S
2599    2150634871U,	// UQRSHLvvv_4H
2600    3225425271U,	// UQRSHLvvv_4S
2601    3226473847U,	// UQRSHLvvv_8B
2602    1080038775U,	// UQRSHLvvv_8H
2603    40904326U,	// UQRSHRNbhi
2604    40904326U,	// UQRSHRNhsi
2605    40904326U,	// UQRSHRNsdi
2606    1140855102U,	// UQRSHRNvvi_16B
2607    2149586566U,	// UQRSHRNvvi_2S
2608    3224376966U,	// UQRSHRNvvi_4H
2609    2218791230U,	// UQRSHRNvvi_4S
2610    1078990470U,	// UQRSHRNvvi_8B
2611    3294630206U,	// UQRSHRNvvi_8H
2612    40904040U,	// UQSHLbbb
2613    40904040U,	// UQSHLbbi
2614    40904040U,	// UQSHLddd
2615    40904040U,	// UQSHLddi
2616    40904040U,	// UQSHLhhh
2617    40904040U,	// UQSHLhhi
2618    40904040U,	// UQSHLssi
2619    40904040U,	// UQSHLsss
2620    5480U,	// UQSHLvvi_16B
2621    2148537704U,	// UQSHLvvi_2D
2622    1075844456U,	// UQSHLvvi_2S
2623    2150634856U,	// UQSHLvvi_4H
2624    3225425256U,	// UQSHLvvi_4S
2625    3226473832U,	// UQSHLvvi_8B
2626    1080038760U,	// UQSHLvvi_8H
2627    5480U,	// UQSHLvvv_16B
2628    2148537704U,	// UQSHLvvv_2D
2629    1075844456U,	// UQSHLvvv_2S
2630    2150634856U,	// UQSHLvvv_4H
2631    3225425256U,	// UQSHLvvv_4S
2632    3226473832U,	// UQSHLvvv_8B
2633    1080038760U,	// UQSHLvvv_8H
2634    40904309U,	// UQSHRNbhi
2635    40904309U,	// UQSHRNhsi
2636    40904309U,	// UQSHRNsdi
2637    1140855083U,	// UQSHRNvvi_16B
2638    2149586549U,	// UQSHRNvvi_2S
2639    3224376949U,	// UQSHRNvvi_4H
2640    2218791211U,	// UQSHRNvvi_4S
2641    1078990453U,	// UQSHRNvvi_8B
2642    3294630187U,	// UQSHRNvvi_8H
2643    40903395U,	// UQSUBbbb
2644    40903395U,	// UQSUBddd
2645    40903395U,	// UQSUBhhh
2646    40903395U,	// UQSUBsss
2647    4835U,	// UQSUBvvv_16B
2648    2148537059U,	// UQSUBvvv_2D
2649    1075843811U,	// UQSUBvvv_2S
2650    2150634211U,	// UQSUBvvv_4H
2651    3225424611U,	// UQSUBvvv_4S
2652    3226473187U,	// UQSUBvvv_8B
2653    1080038115U,	// UQSUBvvv_8H
2654    1075844778U,	// UQXTN2d2s
2655    1145049438U,	// UQXTN2d4s
2656    3151530U,	// UQXTN4s4h
2657    73404766U,	// UQXTN4s8h
2658    2214596958U,	// UQXTN8h16b
2659    2152732330U,	// UQXTN8h8b
2660    3262129834U,	// UQXTNbh
2661    3262129834U,	// UQXTNhs
2662    3262129834U,	// UQXTNsd
2663    2149585821U,	// URECPE2s
2664    4199325U,	// URECPE4s
2665    4928U,	// URHADDvvv_16B
2666    1075843904U,	// URHADDvvv_2S
2667    2150634304U,	// URHADDvvv_4H
2668    3225424704U,	// URHADDvvv_4S
2669    3226473280U,	// URHADDvvv_8B
2670    1080038208U,	// URHADDvvv_8H
2671    40904070U,	// URSHLddd
2672    5510U,	// URSHLvvv_16B
2673    2148537734U,	// URSHLvvv_2D
2674    1075844486U,	// URSHLvvv_2S
2675    2150634886U,	// URSHLvvv_4H
2676    3225425286U,	// URSHLvvv_4S
2677    3226473862U,	// URSHLvvv_8B
2678    1080038790U,	// URSHLvvv_8H
2679    40904659U,	// URSHRddi
2680    6099U,	// URSHRvvi_16B
2681    2148538323U,	// URSHRvvi_2D
2682    1075845075U,	// URSHRvvi_2S
2683    2150635475U,	// URSHRvvi_4H
2684    3225425875U,	// URSHRvvi_4S
2685    3226474451U,	// URSHRvvi_8B
2686    1080039379U,	// URSHRvvi_8H
2687    2149585859U,	// URSQRTE2s
2688    4199363U,	// URSQRTE4s
2689    242229767U,	// URSRA
2690    67113479U,	// URSRAvvi_16B
2691    2215645703U,	// URSRAvvi_2D
2692    1142952455U,	// URSRAvvi_2S
2693    2217742855U,	// URSRAvvi_4H
2694    3292533255U,	// URSRAvvi_4S
2695    3293581831U,	// URSRAvvi_8B
2696    1147146759U,	// URSRAvvi_8H
2697    6295748U,	// USHLLvvi_16B
2698    1074795943U,	// USHLLvvi_2S
2699    2151683495U,	// USHLLvvi_4H
2700    3222278340U,	// USHLLvvi_4S
2701    3227522471U,	// USHLLvvi_8B
2702    1077940420U,	// USHLLvvi_8H
2703    40904083U,	// USHLddd
2704    5523U,	// USHLvvv_16B
2705    2148537747U,	// USHLvvv_2D
2706    1075844499U,	// USHLvvv_2S
2707    2150634899U,	// USHLvvv_4H
2708    3225425299U,	// USHLvvv_4S
2709    3226473875U,	// USHLvvv_8B
2710    1080038803U,	// USHLvvv_8H
2711    40904672U,	// USHRddi
2712    6112U,	// USHRvvi_16B
2713    2148538336U,	// USHRvvi_2D
2714    1075845088U,	// USHRvvi_2S
2715    2150635488U,	// USHRvvi_4H
2716    3225425888U,	// USHRvvi_4S
2717    3226474464U,	// USHRvvi_8B
2718    1080039392U,	// USHRvvi_8H
2719    67113829U,	// USQADD16b
2720    1141904229U,	// USQADD2d
2721    2216694629U,	// USQADD2s
2722    3291485029U,	// USQADD4h
2723    71308133U,	// USQADD4s
2724    1146098533U,	// USQADD8b
2725    2220888933U,	// USQADD8h
2726    3463455589U,	// USQADDbb
2727    3463455589U,	// USQADDdd
2728    3463455589U,	// USQADDhh
2729    3463455589U,	// USQADDss
2730    242229780U,	// USRA
2731    67113492U,	// USRAvvi_16B
2732    2215645716U,	// USRAvvi_2D
2733    1142952468U,	// USRAvvi_2S
2734    2217742868U,	// USRAvvi_4H
2735    3292533268U,	// USRAvvi_4S
2736    3293581844U,	// USRAvvi_8B
2737    1147146772U,	// USRAvvi_8H
2738    3222278292U,	// USUBL2vvv_2d4s
2739    1077940372U,	// USUBL2vvv_4s8h
2740    6295700U,	// USUBL2vvv_8h16b
2741    1074795815U,	// USUBLvvv_2d2s
2742    2151683367U,	// USUBLvvv_4s4h
2743    3227522343U,	// USUBLvvv_8h8b
2744    2148536749U,	// USUBW2vvv_2d4s
2745    3225424301U,	// USUBW2vvv_4s8h
2746    1080037805U,	// USUBW2vvv_8h16b
2747    2148538857U,	// USUBWvvv_2d2s
2748    3225426409U,	// USUBWvvv_4s4h
2749    1080039913U,	// USUBWvvv_8h8b
2750    3262128819U,	// UXTBww
2751    3262128819U,	// UXTBxw
2752    3262129318U,	// UXTHww
2753    3262129318U,	// UXTHxw
2754    4134U,	// UZP1vvv_16b
2755    2148536358U,	// UZP1vvv_2d
2756    1075843110U,	// UZP1vvv_2s
2757    2150633510U,	// UZP1vvv_4h
2758    3225423910U,	// UZP1vvv_4s
2759    3226472486U,	// UZP1vvv_8b
2760    1080037414U,	// UZP1vvv_8h
2761    4499U,	// UZP2vvv_16b
2762    2148536723U,	// UZP2vvv_2d
2763    1075843475U,	// UZP2vvv_2s
2764    2150633875U,	// UZP2vvv_4h
2765    3225424275U,	// UZP2vvv_4s
2766    3226472851U,	// UZP2vvv_8b
2767    1080037779U,	// UZP2vvv_8h
2768    2148538574U,	// VCVTf2xs_2D
2769    1075845326U,	// VCVTf2xs_2S
2770    3225426126U,	// VCVTf2xs_4S
2771    2148538704U,	// VCVTf2xu_2D
2772    1075845456U,	// VCVTf2xu_2S
2773    3225426256U,	// VCVTf2xu_4S
2774    2148537297U,	// VCVTxs2f_2D
2775    1075844049U,	// VCVTxs2f_2S
2776    3225424849U,	// VCVTxs2f_4S
2777    2148537304U,	// VCVTxu2f_2D
2778    1075844056U,	// VCVTxu2f_2S
2779    3225424856U,	// VCVTxu2f_4S
2780    1075844773U,	// XTN2d2s
2781    1145049432U,	// XTN2d4s
2782    3151525U,	// XTN4s4h
2783    73404760U,	// XTN4s8h
2784    2214596952U,	// XTN8h16b
2785    2152732325U,	// XTN8h8b
2786    4128U,	// ZIP1vvv_16b
2787    2148536352U,	// ZIP1vvv_2d
2788    1075843104U,	// ZIP1vvv_2s
2789    2150633504U,	// ZIP1vvv_4h
2790    3225423904U,	// ZIP1vvv_4s
2791    3226472480U,	// ZIP1vvv_8b
2792    1080037408U,	// ZIP1vvv_8h
2793    4493U,	// ZIP2vvv_16b
2794    2148536717U,	// ZIP2vvv_2d
2795    1075843469U,	// ZIP2vvv_2s
2796    2150633869U,	// ZIP2vvv_4h
2797    3225424269U,	// ZIP2vvv_4s
2798    3226472845U,	// ZIP2vvv_8b
2799    1080037773U,	// ZIP2vvv_8h
2800    0U
2801  };
2802
2803  static const uint32_t OpInfo2[] = {
2804    0U,	// PHI
2805    0U,	// INLINEASM
2806    0U,	// PROLOG_LABEL
2807    0U,	// EH_LABEL
2808    0U,	// GC_LABEL
2809    0U,	// KILL
2810    0U,	// EXTRACT_SUBREG
2811    0U,	// INSERT_SUBREG
2812    0U,	// IMPLICIT_DEF
2813    0U,	// SUBREG_TO_REG
2814    0U,	// COPY_TO_REGCLASS
2815    0U,	// DBG_VALUE
2816    0U,	// REG_SEQUENCE
2817    0U,	// COPY
2818    0U,	// BUNDLE
2819    0U,	// LIFETIME_START
2820    0U,	// LIFETIME_END
2821    0U,	// STACKMAP
2822    0U,	// PATCHPOINT
2823    0U,	// ABS16b
2824    0U,	// ABS2d
2825    0U,	// ABS2s
2826    0U,	// ABS4h
2827    1U,	// ABS4s
2828    1U,	// ABS8b
2829    1U,	// ABS8h
2830    1U,	// ABSdd
2831    2U,	// ADCSwww
2832    2U,	// ADCSxxx
2833    2U,	// ADCwww
2834    2U,	// ADCxxx
2835    522U,	// ADDHN2vvv_16b8h
2836    1034U,	// ADDHN2vvv_4s2d
2837    1546U,	// ADDHN2vvv_8h4s
2838    1042U,	// ADDHNvvv_2s2d
2839    1554U,	// ADDHNvvv_4h4s
2840    530U,	// ADDHNvvv_8b8h
2841    2067U,	// ADDP_16B
2842    1042U,	// ADDP_2D
2843    2579U,	// ADDP_2S
2844    3091U,	// ADDP_4H
2845    1554U,	// ADDP_4S
2846    3603U,	// ADDP_8B
2847    530U,	// ADDP_8H
2848    0U,	// ADDPvv_D_2D
2849    4098U,	// ADDSwww_asr
2850    20482U,	// ADDSwww_lsl
2851    36866U,	// ADDSwww_lsr
2852    53250U,	// ADDSwww_sxtb
2853    69634U,	// ADDSwww_sxth
2854    86018U,	// ADDSwww_sxtw
2855    102402U,	// ADDSwww_sxtx
2856    118786U,	// ADDSwww_uxtb
2857    135170U,	// ADDSwww_uxth
2858    151554U,	// ADDSwww_uxtw
2859    167938U,	// ADDSwww_uxtx
2860    53250U,	// ADDSxxw_sxtb
2861    69634U,	// ADDSxxw_sxth
2862    86018U,	// ADDSxxw_sxtw
2863    118786U,	// ADDSxxw_uxtb
2864    135170U,	// ADDSxxw_uxth
2865    151554U,	// ADDSxxw_uxtw
2866    4098U,	// ADDSxxx_asr
2867    20482U,	// ADDSxxx_lsl
2868    36866U,	// ADDSxxx_lsr
2869    102402U,	// ADDSxxx_sxtx
2870    167938U,	// ADDSxxx_uxtx
2871    0U,	// ADDV_1b16b
2872    1U,	// ADDV_1b8b
2873    0U,	// ADDV_1h4h
2874    1U,	// ADDV_1h8h
2875    1U,	// ADDV_1s4s
2876    2U,	// ADDddd
2877    2067U,	// ADDvvv_16B
2878    1042U,	// ADDvvv_2D
2879    2579U,	// ADDvvv_2S
2880    3091U,	// ADDvvv_4H
2881    1554U,	// ADDvvv_4S
2882    3603U,	// ADDvvv_8B
2883    530U,	// ADDvvv_8H
2884    26U,	// ADDwwi_lsl0_S
2885    0U,	// ADDwwi_lsl0_cmp
2886    26U,	// ADDwwi_lsl0_s
2887    34U,	// ADDwwi_lsl12_S
2888    0U,	// ADDwwi_lsl12_cmp
2889    34U,	// ADDwwi_lsl12_s
2890    4098U,	// ADDwww_asr
2891    20482U,	// ADDwww_lsl
2892    36866U,	// ADDwww_lsr
2893    53250U,	// ADDwww_sxtb
2894    69634U,	// ADDwww_sxth
2895    86018U,	// ADDwww_sxtw
2896    102402U,	// ADDwww_sxtx
2897    118786U,	// ADDwww_uxtb
2898    135170U,	// ADDwww_uxth
2899    151554U,	// ADDwww_uxtw
2900    167938U,	// ADDwww_uxtx
2901    26U,	// ADDxxi_lsl0_S
2902    0U,	// ADDxxi_lsl0_cmp
2903    26U,	// ADDxxi_lsl0_s
2904    34U,	// ADDxxi_lsl12_S
2905    0U,	// ADDxxi_lsl12_cmp
2906    34U,	// ADDxxi_lsl12_s
2907    53250U,	// ADDxxw_sxtb
2908    69634U,	// ADDxxw_sxth
2909    86018U,	// ADDxxw_sxtw
2910    118786U,	// ADDxxw_uxtb
2911    135170U,	// ADDxxw_uxth
2912    151554U,	// ADDxxw_uxtw
2913    4098U,	// ADDxxx_asr
2914    20482U,	// ADDxxx_lsl
2915    36866U,	// ADDxxx_lsr
2916    102402U,	// ADDxxx_sxtx
2917    167938U,	// ADDxxx_uxtx
2918    0U,	// ADJCALLSTACKDOWN
2919    0U,	// ADJCALLSTACKUP
2920    0U,	// ADRPxi
2921    0U,	// ADRxi
2922    0U,	// AESD
2923    0U,	// AESE
2924    0U,	// AESIMC
2925    0U,	// AESMC
2926    42U,	// ANDSwwi
2927    4098U,	// ANDSwww_asr
2928    20482U,	// ANDSwww_lsl
2929    36866U,	// ANDSwww_lsr
2930    184322U,	// ANDSwww_ror
2931    50U,	// ANDSxxi
2932    4098U,	// ANDSxxx_asr
2933    20482U,	// ANDSxxx_lsl
2934    36866U,	// ANDSxxx_lsr
2935    184322U,	// ANDSxxx_ror
2936    2067U,	// ANDvvv_16B
2937    3603U,	// ANDvvv_8B
2938    42U,	// ANDwwi
2939    4098U,	// ANDwww_asr
2940    20482U,	// ANDwww_lsl
2941    36866U,	// ANDwww_lsr
2942    184322U,	// ANDwww_ror
2943    50U,	// ANDxxi
2944    4098U,	// ANDxxx_asr
2945    20482U,	// ANDxxx_lsl
2946    36866U,	// ANDxxx_lsr
2947    184322U,	// ANDxxx_ror
2948    2U,	// ASRVwww
2949    2U,	// ASRVxxx
2950    2U,	// ASRwwi
2951    2U,	// ASRxxi
2952    0U,	// ATOMIC_CMP_SWAP_I16
2953    0U,	// ATOMIC_CMP_SWAP_I32
2954    0U,	// ATOMIC_CMP_SWAP_I64
2955    0U,	// ATOMIC_CMP_SWAP_I8
2956    0U,	// ATOMIC_LOAD_ADD_I16
2957    0U,	// ATOMIC_LOAD_ADD_I32
2958    0U,	// ATOMIC_LOAD_ADD_I64
2959    0U,	// ATOMIC_LOAD_ADD_I8
2960    0U,	// ATOMIC_LOAD_AND_I16
2961    0U,	// ATOMIC_LOAD_AND_I32
2962    0U,	// ATOMIC_LOAD_AND_I64
2963    0U,	// ATOMIC_LOAD_AND_I8
2964    0U,	// ATOMIC_LOAD_MAX_I16
2965    0U,	// ATOMIC_LOAD_MAX_I32
2966    0U,	// ATOMIC_LOAD_MAX_I64
2967    0U,	// ATOMIC_LOAD_MAX_I8
2968    0U,	// ATOMIC_LOAD_MIN_I16
2969    0U,	// ATOMIC_LOAD_MIN_I32
2970    0U,	// ATOMIC_LOAD_MIN_I64
2971    0U,	// ATOMIC_LOAD_MIN_I8
2972    0U,	// ATOMIC_LOAD_NAND_I16
2973    0U,	// ATOMIC_LOAD_NAND_I32
2974    0U,	// ATOMIC_LOAD_NAND_I64
2975    0U,	// ATOMIC_LOAD_NAND_I8
2976    0U,	// ATOMIC_LOAD_OR_I16
2977    0U,	// ATOMIC_LOAD_OR_I32
2978    0U,	// ATOMIC_LOAD_OR_I64
2979    0U,	// ATOMIC_LOAD_OR_I8
2980    0U,	// ATOMIC_LOAD_SUB_I16
2981    0U,	// ATOMIC_LOAD_SUB_I32
2982    0U,	// ATOMIC_LOAD_SUB_I64
2983    0U,	// ATOMIC_LOAD_SUB_I8
2984    0U,	// ATOMIC_LOAD_UMAX_I16
2985    0U,	// ATOMIC_LOAD_UMAX_I32
2986    0U,	// ATOMIC_LOAD_UMAX_I64
2987    0U,	// ATOMIC_LOAD_UMAX_I8
2988    0U,	// ATOMIC_LOAD_UMIN_I16
2989    0U,	// ATOMIC_LOAD_UMIN_I32
2990    0U,	// ATOMIC_LOAD_UMIN_I64
2991    0U,	// ATOMIC_LOAD_UMIN_I8
2992    0U,	// ATOMIC_LOAD_XOR_I16
2993    0U,	// ATOMIC_LOAD_XOR_I32
2994    0U,	// ATOMIC_LOAD_XOR_I64
2995    0U,	// ATOMIC_LOAD_XOR_I8
2996    0U,	// ATOMIC_SWAP_I16
2997    0U,	// ATOMIC_SWAP_I32
2998    0U,	// ATOMIC_SWAP_I64
2999    0U,	// ATOMIC_SWAP_I8
3000    0U,	// ATix
3001    58U,	// BFIwwii
3002    66U,	// BFIxxii
3003    200778U,	// BFMwwii
3004    200778U,	// BFMxxii
3005    217162U,	// BFXILwwii
3006    217162U,	// BFXILxxii
3007    4098U,	// BICSwww_asr
3008    20482U,	// BICSwww_lsl
3009    36866U,	// BICSwww_lsr
3010    184322U,	// BICSwww_ror
3011    4098U,	// BICSxxx_asr
3012    20482U,	// BICSxxx_lsl
3013    36866U,	// BICSxxx_lsr
3014    184322U,	// BICSxxx_ror
3015    4U,	// BICvi_lsl_2S
3016    4U,	// BICvi_lsl_4H
3017    4U,	// BICvi_lsl_4S
3018    4U,	// BICvi_lsl_8H
3019    2067U,	// BICvvv_16B
3020    3603U,	// BICvvv_8B
3021    4098U,	// BICwww_asr
3022    20482U,	// BICwww_lsl
3023    36866U,	// BICwww_lsr
3024    184322U,	// BICwww_ror
3025    4098U,	// BICxxx_asr
3026    20482U,	// BICxxx_lsl
3027    36866U,	// BICxxx_lsr
3028    184322U,	// BICxxx_ror
3029    2059U,	// BIFvvv_16B
3030    3595U,	// BIFvvv_8B
3031    2059U,	// BITvvv_16B
3032    3595U,	// BITvvv_8B
3033    0U,	// BLRx
3034    0U,	// BLimm
3035    0U,	// BRKi
3036    0U,	// BRx
3037    2059U,	// BSLvvv_16B
3038    3595U,	// BSLvvv_8B
3039    0U,	// Bcc
3040    0U,	// Bimm
3041    0U,	// CBNZw
3042    0U,	// CBNZx
3043    0U,	// CBZw
3044    0U,	// CBZx
3045    233474U,	// CCMNwi
3046    233474U,	// CCMNww
3047    233474U,	// CCMNxi
3048    233474U,	// CCMNxx
3049    233474U,	// CCMPwi
3050    233474U,	// CCMPww
3051    233474U,	// CCMPxi
3052    233474U,	// CCMPxx
3053    0U,	// CLREXi
3054    0U,	// CLS16b
3055    0U,	// CLS2s
3056    0U,	// CLS4h
3057    1U,	// CLS4s
3058    1U,	// CLS8b
3059    1U,	// CLS8h
3060    1U,	// CLSww
3061    1U,	// CLSxx
3062    0U,	// CLZ16b
3063    0U,	// CLZ2s
3064    0U,	// CLZ4h
3065    1U,	// CLZ4s
3066    1U,	// CLZ8b
3067    1U,	// CLZ8h
3068    1U,	// CLZww
3069    1U,	// CLZxx
3070    2U,	// CMEQddd
3071    82U,	// CMEQddi
3072    83U,	// CMEQvvi_16B
3073    82U,	// CMEQvvi_2D
3074    83U,	// CMEQvvi_2S
3075    83U,	// CMEQvvi_4H
3076    82U,	// CMEQvvi_4S
3077    83U,	// CMEQvvi_8B
3078    82U,	// CMEQvvi_8H
3079    2067U,	// CMEQvvv_16B
3080    1042U,	// CMEQvvv_2D
3081    2579U,	// CMEQvvv_2S
3082    3091U,	// CMEQvvv_4H
3083    1554U,	// CMEQvvv_4S
3084    3603U,	// CMEQvvv_8B
3085    530U,	// CMEQvvv_8H
3086    2U,	// CMGEddd
3087    82U,	// CMGEddi
3088    83U,	// CMGEvvi_16B
3089    82U,	// CMGEvvi_2D
3090    83U,	// CMGEvvi_2S
3091    83U,	// CMGEvvi_4H
3092    82U,	// CMGEvvi_4S
3093    83U,	// CMGEvvi_8B
3094    82U,	// CMGEvvi_8H
3095    2067U,	// CMGEvvv_16B
3096    1042U,	// CMGEvvv_2D
3097    2579U,	// CMGEvvv_2S
3098    3091U,	// CMGEvvv_4H
3099    1554U,	// CMGEvvv_4S
3100    3603U,	// CMGEvvv_8B
3101    530U,	// CMGEvvv_8H
3102    2U,	// CMGTddd
3103    82U,	// CMGTddi
3104    83U,	// CMGTvvi_16B
3105    82U,	// CMGTvvi_2D
3106    83U,	// CMGTvvi_2S
3107    83U,	// CMGTvvi_4H
3108    82U,	// CMGTvvi_4S
3109    83U,	// CMGTvvi_8B
3110    82U,	// CMGTvvi_8H
3111    2067U,	// CMGTvvv_16B
3112    1042U,	// CMGTvvv_2D
3113    2579U,	// CMGTvvv_2S
3114    3091U,	// CMGTvvv_4H
3115    1554U,	// CMGTvvv_4S
3116    3603U,	// CMGTvvv_8B
3117    530U,	// CMGTvvv_8H
3118    2U,	// CMHIddd
3119    2067U,	// CMHIvvv_16B
3120    1042U,	// CMHIvvv_2D
3121    2579U,	// CMHIvvv_2S
3122    3091U,	// CMHIvvv_4H
3123    1554U,	// CMHIvvv_4S
3124    3603U,	// CMHIvvv_8B
3125    530U,	// CMHIvvv_8H
3126    2U,	// CMHSddd
3127    2067U,	// CMHSvvv_16B
3128    1042U,	// CMHSvvv_2D
3129    2579U,	// CMHSvvv_2S
3130    3091U,	// CMHSvvv_4H
3131    1554U,	// CMHSvvv_4S
3132    3603U,	// CMHSvvv_8B
3133    530U,	// CMHSvvv_8H
3134    82U,	// CMLEddi
3135    83U,	// CMLEvvi_16B
3136    82U,	// CMLEvvi_2D
3137    83U,	// CMLEvvi_2S
3138    83U,	// CMLEvvi_4H
3139    82U,	// CMLEvvi_4S
3140    83U,	// CMLEvvi_8B
3141    82U,	// CMLEvvi_8H
3142    82U,	// CMLTddi
3143    83U,	// CMLTvvi_16B
3144    82U,	// CMLTvvi_2D
3145    83U,	// CMLTvvi_2S
3146    83U,	// CMLTvvi_4H
3147    82U,	// CMLTvvi_4S
3148    83U,	// CMLTvvi_8B
3149    82U,	// CMLTvvi_8H
3150    90U,	// CMNww_asr
3151    98U,	// CMNww_lsl
3152    106U,	// CMNww_lsr
3153    114U,	// CMNww_sxtb
3154    122U,	// CMNww_sxth
3155    130U,	// CMNww_sxtw
3156    138U,	// CMNww_sxtx
3157    146U,	// CMNww_uxtb
3158    154U,	// CMNww_uxth
3159    162U,	// CMNww_uxtw
3160    170U,	// CMNww_uxtx
3161    114U,	// CMNxw_sxtb
3162    122U,	// CMNxw_sxth
3163    130U,	// CMNxw_sxtw
3164    146U,	// CMNxw_uxtb
3165    154U,	// CMNxw_uxth
3166    162U,	// CMNxw_uxtw
3167    90U,	// CMNxx_asr
3168    98U,	// CMNxx_lsl
3169    106U,	// CMNxx_lsr
3170    138U,	// CMNxx_sxtx
3171    170U,	// CMNxx_uxtx
3172    90U,	// CMPww_asr
3173    98U,	// CMPww_lsl
3174    106U,	// CMPww_lsr
3175    114U,	// CMPww_sxtb
3176    122U,	// CMPww_sxth
3177    130U,	// CMPww_sxtw
3178    138U,	// CMPww_sxtx
3179    146U,	// CMPww_uxtb
3180    154U,	// CMPww_uxth
3181    162U,	// CMPww_uxtw
3182    170U,	// CMPww_uxtx
3183    114U,	// CMPxw_sxtb
3184    122U,	// CMPxw_sxth
3185    130U,	// CMPxw_sxtw
3186    146U,	// CMPxw_uxtb
3187    154U,	// CMPxw_uxth
3188    162U,	// CMPxw_uxtw
3189    90U,	// CMPxx_asr
3190    98U,	// CMPxx_lsl
3191    106U,	// CMPxx_lsr
3192    138U,	// CMPxx_sxtx
3193    170U,	// CMPxx_uxtx
3194    2U,	// CMTSTddd
3195    2067U,	// CMTSTvvv_16B
3196    1042U,	// CMTSTvvv_2D
3197    2579U,	// CMTSTvvv_2S
3198    3091U,	// CMTSTvvv_4H
3199    1554U,	// CMTSTvvv_4S
3200    3603U,	// CMTSTvvv_8B
3201    530U,	// CMTSTvvv_8H
3202    0U,	// CNT16b
3203    1U,	// CNT8b
3204    2U,	// CRC32B_www
3205    2U,	// CRC32CB_www
3206    2U,	// CRC32CH_www
3207    2U,	// CRC32CW_www
3208    2U,	// CRC32CX_wwx
3209    2U,	// CRC32H_www
3210    2U,	// CRC32W_www
3211    2U,	// CRC32X_wwx
3212    233474U,	// CSELwwwc
3213    233474U,	// CSELxxxc
3214    233474U,	// CSINCwwwc
3215    233474U,	// CSINCxxxc
3216    233474U,	// CSINVwwwc
3217    233474U,	// CSINVxxxc
3218    233474U,	// CSNEGwwwc
3219    233474U,	// CSNEGxxxc
3220    0U,	// DCPS1i
3221    0U,	// DCPS2i
3222    0U,	// DCPS3i
3223    0U,	// DCix
3224    0U,	// DMBi
3225    0U,	// DRPS
3226    0U,	// DSBi
3227    1U,	// DUP16b
3228    1U,	// DUP2d
3229    1U,	// DUP2s
3230    1U,	// DUP4h
3231    1U,	// DUP4s
3232    1U,	// DUP8b
3233    1U,	// DUP8h
3234    180U,	// DUPELT16b
3235    180U,	// DUPELT2d
3236    181U,	// DUPELT2s
3237    181U,	// DUPELT4h
3238    181U,	// DUPELT4s
3239    180U,	// DUPELT8b
3240    181U,	// DUPELT8h
3241    180U,	// DUPbv_B
3242    180U,	// DUPdv_D
3243    181U,	// DUPhv_H
3244    181U,	// DUPsv_S
3245    4098U,	// EONwww_asr
3246    20482U,	// EONwww_lsl
3247    36866U,	// EONwww_lsr
3248    184322U,	// EONwww_ror
3249    4098U,	// EONxxx_asr
3250    20482U,	// EONxxx_lsl
3251    36866U,	// EONxxx_lsr
3252    184322U,	// EONxxx_ror
3253    2067U,	// EORvvv_16B
3254    3603U,	// EORvvv_8B
3255    42U,	// EORwwi
3256    4098U,	// EORwww_asr
3257    20482U,	// EORwww_lsl
3258    36866U,	// EORwww_lsr
3259    184322U,	// EORwww_ror
3260    50U,	// EORxxi
3261    4098U,	// EORxxx_asr
3262    20482U,	// EORxxx_lsl
3263    36866U,	// EORxxx_lsr
3264    184322U,	// EORxxx_ror
3265    0U,	// ERET
3266    249858U,	// EXTRwwwi
3267    249858U,	// EXTRxxxi
3268    4627U,	// EXTvvvi_16b
3269    5139U,	// EXTvvvi_8b
3270    0U,	// F128CSEL
3271    2U,	// FABDddd
3272    2U,	// FABDsss
3273    1042U,	// FABDvvv_2D
3274    2579U,	// FABDvvv_2S
3275    1554U,	// FABDvvv_4S
3276    0U,	// FABS2d
3277    0U,	// FABS2s
3278    1U,	// FABS4s
3279    1U,	// FABSdd
3280    1U,	// FABSss
3281    2U,	// FACGEddd
3282    2U,	// FACGEsss
3283    1042U,	// FACGEvvv_2D
3284    2579U,	// FACGEvvv_2S
3285    1554U,	// FACGEvvv_4S
3286    2U,	// FACGTddd
3287    2U,	// FACGTsss
3288    1042U,	// FACGTvvv_2D
3289    2579U,	// FACGTvvv_2S
3290    1554U,	// FACGTvvv_4S
3291    1042U,	// FADDP_2D
3292    2579U,	// FADDP_2S
3293    1554U,	// FADDP_4S
3294    0U,	// FADDPvv_D_2D
3295    0U,	// FADDPvv_S_2S
3296    2U,	// FADDddd
3297    2U,	// FADDsss
3298    1042U,	// FADDvvv_2D
3299    2579U,	// FADDvvv_2S
3300    1554U,	// FADDvvv_4S
3301    233474U,	// FCCMPEdd
3302    233474U,	// FCCMPEss
3303    233474U,	// FCCMPdd
3304    233474U,	// FCCMPss
3305    186U,	// FCMEQZddi
3306    186U,	// FCMEQZssi
3307    2U,	// FCMEQddd
3308    2U,	// FCMEQsss
3309    186U,	// FCMEQvvi_2D
3310    187U,	// FCMEQvvi_2S
3311    186U,	// FCMEQvvi_4S
3312    1042U,	// FCMEQvvv_2D
3313    2579U,	// FCMEQvvv_2S
3314    1554U,	// FCMEQvvv_4S
3315    186U,	// FCMGEZddi
3316    186U,	// FCMGEZssi
3317    2U,	// FCMGEddd
3318    2U,	// FCMGEsss
3319    186U,	// FCMGEvvi_2D
3320    187U,	// FCMGEvvi_2S
3321    186U,	// FCMGEvvi_4S
3322    1042U,	// FCMGEvvv_2D
3323    2579U,	// FCMGEvvv_2S
3324    1554U,	// FCMGEvvv_4S
3325    186U,	// FCMGTZddi
3326    186U,	// FCMGTZssi
3327    2U,	// FCMGTddd
3328    2U,	// FCMGTsss
3329    186U,	// FCMGTvvi_2D
3330    187U,	// FCMGTvvi_2S
3331    186U,	// FCMGTvvi_4S
3332    1042U,	// FCMGTvvv_2D
3333    2579U,	// FCMGTvvv_2S
3334    1554U,	// FCMGTvvv_4S
3335    186U,	// FCMLEZddi
3336    186U,	// FCMLEZssi
3337    186U,	// FCMLEvvi_2D
3338    187U,	// FCMLEvvi_2S
3339    186U,	// FCMLEvvi_4S
3340    186U,	// FCMLTZddi
3341    186U,	// FCMLTZssi
3342    186U,	// FCMLTvvi_2D
3343    187U,	// FCMLTvvi_2S
3344    186U,	// FCMLTvvi_4S
3345    1U,	// FCMPdd_quiet
3346    1U,	// FCMPdd_sig
3347    0U,	// FCMPdi_quiet
3348    0U,	// FCMPdi_sig
3349    0U,	// FCMPsi_quiet
3350    0U,	// FCMPsi_sig
3351    1U,	// FCMPss_quiet
3352    1U,	// FCMPss_sig
3353    233474U,	// FCSELdddc
3354    233474U,	// FCSELsssc
3355    0U,	// FCVTAS_2d
3356    0U,	// FCVTAS_2s
3357    1U,	// FCVTAS_4s
3358    1U,	// FCVTASdd
3359    1U,	// FCVTASss
3360    1U,	// FCVTASwd
3361    1U,	// FCVTASws
3362    1U,	// FCVTASxd
3363    1U,	// FCVTASxs
3364    0U,	// FCVTAU_2d
3365    0U,	// FCVTAU_2s
3366    1U,	// FCVTAU_4s
3367    1U,	// FCVTAUdd
3368    1U,	// FCVTAUss
3369    1U,	// FCVTAUwd
3370    1U,	// FCVTAUws
3371    1U,	// FCVTAUxd
3372    1U,	// FCVTAUxs
3373    0U,	// FCVTL2s2d
3374    0U,	// FCVTL4h4s
3375    1U,	// FCVTL4s2d
3376    1U,	// FCVTL8h4s
3377    0U,	// FCVTMS_2d
3378    0U,	// FCVTMS_2s
3379    1U,	// FCVTMS_4s
3380    1U,	// FCVTMSdd
3381    1U,	// FCVTMSss
3382    1U,	// FCVTMSwd
3383    1U,	// FCVTMSws
3384    1U,	// FCVTMSxd
3385    1U,	// FCVTMSxs
3386    0U,	// FCVTMU_2d
3387    0U,	// FCVTMU_2s
3388    1U,	// FCVTMU_4s
3389    1U,	// FCVTMUdd
3390    1U,	// FCVTMUss
3391    1U,	// FCVTMUwd
3392    1U,	// FCVTMUws
3393    1U,	// FCVTMUxd
3394    1U,	// FCVTMUxs
3395    0U,	// FCVTN2d2s
3396    0U,	// FCVTN2d4s
3397    1U,	// FCVTN4s4h
3398    1U,	// FCVTN4s8h
3399    0U,	// FCVTNS_2d
3400    0U,	// FCVTNS_2s
3401    1U,	// FCVTNS_4s
3402    1U,	// FCVTNSdd
3403    1U,	// FCVTNSss
3404    1U,	// FCVTNSwd
3405    1U,	// FCVTNSws
3406    1U,	// FCVTNSxd
3407    1U,	// FCVTNSxs
3408    0U,	// FCVTNU_2d
3409    0U,	// FCVTNU_2s
3410    1U,	// FCVTNU_4s
3411    1U,	// FCVTNUdd
3412    1U,	// FCVTNUss
3413    1U,	// FCVTNUwd
3414    1U,	// FCVTNUws
3415    1U,	// FCVTNUxd
3416    1U,	// FCVTNUxs
3417    0U,	// FCVTPS_2d
3418    0U,	// FCVTPS_2s
3419    1U,	// FCVTPS_4s
3420    1U,	// FCVTPSdd
3421    1U,	// FCVTPSss
3422    1U,	// FCVTPSwd
3423    1U,	// FCVTPSws
3424    1U,	// FCVTPSxd
3425    1U,	// FCVTPSxs
3426    0U,	// FCVTPU_2d
3427    0U,	// FCVTPU_2s
3428    1U,	// FCVTPU_4s
3429    1U,	// FCVTPUdd
3430    1U,	// FCVTPUss
3431    1U,	// FCVTPUwd
3432    1U,	// FCVTPUws
3433    1U,	// FCVTPUxd
3434    1U,	// FCVTPUxs
3435    1U,	// FCVTXN
3436    0U,	// FCVTXN2d2s
3437    0U,	// FCVTXN2d4s
3438    0U,	// FCVTZS_2d
3439    0U,	// FCVTZS_2s
3440    1U,	// FCVTZS_4s
3441    2U,	// FCVTZS_Nddi
3442    2U,	// FCVTZS_Nssi
3443    1U,	// FCVTZSdd
3444    1U,	// FCVTZSss
3445    1U,	// FCVTZSwd
3446    194U,	// FCVTZSwdi
3447    1U,	// FCVTZSws
3448    194U,	// FCVTZSwsi
3449    1U,	// FCVTZSxd
3450    194U,	// FCVTZSxdi
3451    1U,	// FCVTZSxs
3452    194U,	// FCVTZSxsi
3453    0U,	// FCVTZU_2d
3454    0U,	// FCVTZU_2s
3455    1U,	// FCVTZU_4s
3456    2U,	// FCVTZU_Nddi
3457    2U,	// FCVTZU_Nssi
3458    1U,	// FCVTZUdd
3459    1U,	// FCVTZUss
3460    1U,	// FCVTZUwd
3461    194U,	// FCVTZUwdi
3462    1U,	// FCVTZUws
3463    194U,	// FCVTZUwsi
3464    1U,	// FCVTZUxd
3465    194U,	// FCVTZUxdi
3466    1U,	// FCVTZUxs
3467    194U,	// FCVTZUxsi
3468    1U,	// FCVTdh
3469    1U,	// FCVTds
3470    1U,	// FCVThd
3471    1U,	// FCVThs
3472    1U,	// FCVTsd
3473    1U,	// FCVTsh
3474    2U,	// FDIVddd
3475    2U,	// FDIVsss
3476    1042U,	// FDIVvvv_2D
3477    2579U,	// FDIVvvv_2S
3478    1554U,	// FDIVvvv_4S
3479    249858U,	// FMADDdddd
3480    249858U,	// FMADDssss
3481    0U,	// FMAXNMPvv_D_2D
3482    0U,	// FMAXNMPvv_S_2S
3483    1042U,	// FMAXNMPvvv_2D
3484    2579U,	// FMAXNMPvvv_2S
3485    1554U,	// FMAXNMPvvv_4S
3486    1U,	// FMAXNMV_1s4s
3487    2U,	// FMAXNMddd
3488    2U,	// FMAXNMsss
3489    1042U,	// FMAXNMvvv_2D
3490    2579U,	// FMAXNMvvv_2S
3491    1554U,	// FMAXNMvvv_4S
3492    0U,	// FMAXPvv_D_2D
3493    0U,	// FMAXPvv_S_2S
3494    1042U,	// FMAXPvvv_2D
3495    2579U,	// FMAXPvvv_2S
3496    1554U,	// FMAXPvvv_4S
3497    1U,	// FMAXV_1s4s
3498    2U,	// FMAXddd
3499    2U,	// FMAXsss
3500    1042U,	// FMAXvvv_2D
3501    2579U,	// FMAXvvv_2S
3502    1554U,	// FMAXvvv_4S
3503    0U,	// FMINNMPvv_D_2D
3504    0U,	// FMINNMPvv_S_2S
3505    1042U,	// FMINNMPvvv_2D
3506    2579U,	// FMINNMPvvv_2S
3507    1554U,	// FMINNMPvvv_4S
3508    1U,	// FMINNMV_1s4s
3509    2U,	// FMINNMddd
3510    2U,	// FMINNMsss
3511    1042U,	// FMINNMvvv_2D
3512    2579U,	// FMINNMvvv_2S
3513    1554U,	// FMINNMvvv_4S
3514    0U,	// FMINPvv_D_2D
3515    0U,	// FMINPvv_S_2S
3516    1042U,	// FMINPvvv_2D
3517    2579U,	// FMINPvvv_2S
3518    1554U,	// FMINPvvv_4S
3519    1U,	// FMINV_1s4s
3520    2U,	// FMINddd
3521    2U,	// FMINsss
3522    1042U,	// FMINvvv_2D
3523    2579U,	// FMINvvv_2S
3524    1554U,	// FMINvvv_4S
3525    267786U,	// FMLAddv_2D
3526    268298U,	// FMLAssv_4S
3527    267786U,	// FMLAvve_2d2d
3528    268299U,	// FMLAvve_2s4s
3529    268298U,	// FMLAvve_4s4s
3530    1034U,	// FMLAvvv_2D
3531    2571U,	// FMLAvvv_2S
3532    1546U,	// FMLAvvv_4S
3533    267786U,	// FMLSddv_2D
3534    268298U,	// FMLSssv_4S
3535    267786U,	// FMLSvve_2d2d
3536    268299U,	// FMLSvve_2s4s
3537    268298U,	// FMLSvve_4s4s
3538    1034U,	// FMLSvvv_2D
3539    2571U,	// FMLSvvv_2S
3540    1546U,	// FMLSvvv_4S
3541    1U,	// FMOVdd
3542    0U,	// FMOVdi
3543    1U,	// FMOVdx
3544    0U,	// FMOVsi
3545    1U,	// FMOVss
3546    1U,	// FMOVsw
3547    0U,	// FMOVvi_2D
3548    0U,	// FMOVvi_2S
3549    0U,	// FMOVvi_4S
3550    0U,	// FMOVvx
3551    1U,	// FMOVws
3552    1U,	// FMOVxd
3553    204U,	// FMOVxv
3554    249858U,	// FMSUBdddd
3555    249858U,	// FMSUBssss
3556    2U,	// FMULXddd
3557    284178U,	// FMULXddv_2D
3558    2U,	// FMULXsss
3559    284690U,	// FMULXssv_4S
3560    284178U,	// FMULXve_2d2d
3561    284691U,	// FMULXve_2s4s
3562    284690U,	// FMULXve_4s4s
3563    1042U,	// FMULXvvv_2D
3564    2579U,	// FMULXvvv_2S
3565    1554U,	// FMULXvvv_4S
3566    2U,	// FMULddd
3567    284178U,	// FMULddv_2D
3568    2U,	// FMULsss
3569    284690U,	// FMULssv_4S
3570    284178U,	// FMULve_2d2d
3571    284691U,	// FMULve_2s4s
3572    284690U,	// FMULve_4s4s
3573    1042U,	// FMULvvv_2D
3574    2579U,	// FMULvvv_2S
3575    1554U,	// FMULvvv_4S
3576    0U,	// FNEG2d
3577    0U,	// FNEG2s
3578    1U,	// FNEG4s
3579    1U,	// FNEGdd
3580    1U,	// FNEGss
3581    249858U,	// FNMADDdddd
3582    249858U,	// FNMADDssss
3583    249858U,	// FNMSUBdddd
3584    249858U,	// FNMSUBssss
3585    2U,	// FNMULddd
3586    2U,	// FNMULsss
3587    0U,	// FRECPE_2d
3588    0U,	// FRECPE_2s
3589    1U,	// FRECPE_4s
3590    1U,	// FRECPEdd
3591    1U,	// FRECPEss
3592    2U,	// FRECPSddd
3593    2U,	// FRECPSsss
3594    1042U,	// FRECPSvvv_2D
3595    2579U,	// FRECPSvvv_2S
3596    1554U,	// FRECPSvvv_4S
3597    1U,	// FRECPXdd
3598    1U,	// FRECPXss
3599    0U,	// FRINTA_2d
3600    0U,	// FRINTA_2s
3601    1U,	// FRINTA_4s
3602    1U,	// FRINTAdd
3603    1U,	// FRINTAss
3604    0U,	// FRINTI_2d
3605    0U,	// FRINTI_2s
3606    1U,	// FRINTI_4s
3607    1U,	// FRINTIdd
3608    1U,	// FRINTIss
3609    0U,	// FRINTM_2d
3610    0U,	// FRINTM_2s
3611    1U,	// FRINTM_4s
3612    1U,	// FRINTMdd
3613    1U,	// FRINTMss
3614    0U,	// FRINTN_2d
3615    0U,	// FRINTN_2s
3616    1U,	// FRINTN_4s
3617    1U,	// FRINTNdd
3618    1U,	// FRINTNss
3619    0U,	// FRINTP_2d
3620    0U,	// FRINTP_2s
3621    1U,	// FRINTP_4s
3622    1U,	// FRINTPdd
3623    1U,	// FRINTPss
3624    0U,	// FRINTX_2d
3625    0U,	// FRINTX_2s
3626    1U,	// FRINTX_4s
3627    1U,	// FRINTXdd
3628    1U,	// FRINTXss
3629    0U,	// FRINTZ_2d
3630    0U,	// FRINTZ_2s
3631    1U,	// FRINTZ_4s
3632    1U,	// FRINTZdd
3633    1U,	// FRINTZss
3634    0U,	// FRSQRTE_2d
3635    0U,	// FRSQRTE_2s
3636    1U,	// FRSQRTE_4s
3637    1U,	// FRSQRTEdd
3638    1U,	// FRSQRTEss
3639    2U,	// FRSQRTSddd
3640    2U,	// FRSQRTSsss
3641    1042U,	// FRSQRTSvvv_2D
3642    2579U,	// FRSQRTSvvv_2S
3643    1554U,	// FRSQRTSvvv_4S
3644    0U,	// FSQRT_2d
3645    0U,	// FSQRT_2s
3646    1U,	// FSQRT_4s
3647    1U,	// FSQRTdd
3648    1U,	// FSQRTss
3649    2U,	// FSUBddd
3650    2U,	// FSUBsss
3651    1042U,	// FSUBvvv_2D
3652    2579U,	// FSUBvvv_2S
3653    1554U,	// FSUBvvv_4S
3654    0U,	// HINTi
3655    0U,	// HLTi
3656    0U,	// HVCi
3657    0U,	// ICi
3658    1U,	// ICix
3659    212U,	// INSELb
3660    5U,	// INSELd
3661    213U,	// INSELh
3662    213U,	// INSELs
3663    1U,	// INSbw
3664    5U,	// INSdx
3665    1U,	// INShw
3666    1U,	// INSsw
3667    0U,	// ISBi
3668    0U,	// LD1LN_B
3669    0U,	// LD1LN_D
3670    0U,	// LD1LN_H
3671    0U,	// LD1LN_S
3672    0U,	// LD1LN_WB_B_fixed
3673    0U,	// LD1LN_WB_B_register
3674    0U,	// LD1LN_WB_D_fixed
3675    0U,	// LD1LN_WB_D_register
3676    0U,	// LD1LN_WB_H_fixed
3677    0U,	// LD1LN_WB_H_register
3678    0U,	// LD1LN_WB_S_fixed
3679    0U,	// LD1LN_WB_S_register
3680    0U,	// LD1R_16B
3681    0U,	// LD1R_1D
3682    0U,	// LD1R_2D
3683    0U,	// LD1R_2S
3684    0U,	// LD1R_4H
3685    0U,	// LD1R_4S
3686    0U,	// LD1R_8B
3687    0U,	// LD1R_8H
3688    0U,	// LD1R_WB_16B_fixed
3689    0U,	// LD1R_WB_16B_register
3690    0U,	// LD1R_WB_1D_fixed
3691    0U,	// LD1R_WB_1D_register
3692    0U,	// LD1R_WB_2D_fixed
3693    0U,	// LD1R_WB_2D_register
3694    0U,	// LD1R_WB_2S_fixed
3695    0U,	// LD1R_WB_2S_register
3696    0U,	// LD1R_WB_4H_fixed
3697    0U,	// LD1R_WB_4H_register
3698    0U,	// LD1R_WB_4S_fixed
3699    0U,	// LD1R_WB_4S_register
3700    0U,	// LD1R_WB_8B_fixed
3701    0U,	// LD1R_WB_8B_register
3702    0U,	// LD1R_WB_8H_fixed
3703    0U,	// LD1R_WB_8H_register
3704    0U,	// LD1WB_16B_fixed
3705    0U,	// LD1WB_16B_register
3706    0U,	// LD1WB_1D_fixed
3707    0U,	// LD1WB_1D_register
3708    0U,	// LD1WB_2D_fixed
3709    0U,	// LD1WB_2D_register
3710    0U,	// LD1WB_2S_fixed
3711    0U,	// LD1WB_2S_register
3712    0U,	// LD1WB_4H_fixed
3713    0U,	// LD1WB_4H_register
3714    0U,	// LD1WB_4S_fixed
3715    0U,	// LD1WB_4S_register
3716    0U,	// LD1WB_8B_fixed
3717    0U,	// LD1WB_8B_register
3718    0U,	// LD1WB_8H_fixed
3719    0U,	// LD1WB_8H_register
3720    0U,	// LD1_16B
3721    0U,	// LD1_1D
3722    0U,	// LD1_2D
3723    0U,	// LD1_2S
3724    0U,	// LD1_4H
3725    0U,	// LD1_4S
3726    0U,	// LD1_8B
3727    0U,	// LD1_8H
3728    0U,	// LD1x2WB_16B_fixed
3729    0U,	// LD1x2WB_16B_register
3730    0U,	// LD1x2WB_1D_fixed
3731    0U,	// LD1x2WB_1D_register
3732    0U,	// LD1x2WB_2D_fixed
3733    0U,	// LD1x2WB_2D_register
3734    0U,	// LD1x2WB_2S_fixed
3735    0U,	// LD1x2WB_2S_register
3736    0U,	// LD1x2WB_4H_fixed
3737    0U,	// LD1x2WB_4H_register
3738    0U,	// LD1x2WB_4S_fixed
3739    0U,	// LD1x2WB_4S_register
3740    0U,	// LD1x2WB_8B_fixed
3741    0U,	// LD1x2WB_8B_register
3742    0U,	// LD1x2WB_8H_fixed
3743    0U,	// LD1x2WB_8H_register
3744    0U,	// LD1x2_16B
3745    0U,	// LD1x2_1D
3746    0U,	// LD1x2_2D
3747    0U,	// LD1x2_2S
3748    0U,	// LD1x2_4H
3749    0U,	// LD1x2_4S
3750    0U,	// LD1x2_8B
3751    0U,	// LD1x2_8H
3752    0U,	// LD1x3WB_16B_fixed
3753    0U,	// LD1x3WB_16B_register
3754    0U,	// LD1x3WB_1D_fixed
3755    0U,	// LD1x3WB_1D_register
3756    0U,	// LD1x3WB_2D_fixed
3757    0U,	// LD1x3WB_2D_register
3758    0U,	// LD1x3WB_2S_fixed
3759    0U,	// LD1x3WB_2S_register
3760    0U,	// LD1x3WB_4H_fixed
3761    0U,	// LD1x3WB_4H_register
3762    0U,	// LD1x3WB_4S_fixed
3763    0U,	// LD1x3WB_4S_register
3764    0U,	// LD1x3WB_8B_fixed
3765    0U,	// LD1x3WB_8B_register
3766    0U,	// LD1x3WB_8H_fixed
3767    0U,	// LD1x3WB_8H_register
3768    0U,	// LD1x3_16B
3769    0U,	// LD1x3_1D
3770    0U,	// LD1x3_2D
3771    0U,	// LD1x3_2S
3772    0U,	// LD1x3_4H
3773    0U,	// LD1x3_4S
3774    0U,	// LD1x3_8B
3775    0U,	// LD1x3_8H
3776    0U,	// LD1x4WB_16B_fixed
3777    0U,	// LD1x4WB_16B_register
3778    0U,	// LD1x4WB_1D_fixed
3779    0U,	// LD1x4WB_1D_register
3780    0U,	// LD1x4WB_2D_fixed
3781    0U,	// LD1x4WB_2D_register
3782    0U,	// LD1x4WB_2S_fixed
3783    0U,	// LD1x4WB_2S_register
3784    0U,	// LD1x4WB_4H_fixed
3785    0U,	// LD1x4WB_4H_register
3786    0U,	// LD1x4WB_4S_fixed
3787    0U,	// LD1x4WB_4S_register
3788    0U,	// LD1x4WB_8B_fixed
3789    0U,	// LD1x4WB_8B_register
3790    0U,	// LD1x4WB_8H_fixed
3791    0U,	// LD1x4WB_8H_register
3792    0U,	// LD1x4_16B
3793    0U,	// LD1x4_1D
3794    0U,	// LD1x4_2D
3795    0U,	// LD1x4_2S
3796    0U,	// LD1x4_4H
3797    0U,	// LD1x4_4S
3798    0U,	// LD1x4_8B
3799    0U,	// LD1x4_8H
3800    0U,	// LD2LN_B
3801    0U,	// LD2LN_D
3802    0U,	// LD2LN_H
3803    0U,	// LD2LN_S
3804    0U,	// LD2LN_WB_B_fixed
3805    0U,	// LD2LN_WB_B_register
3806    0U,	// LD2LN_WB_D_fixed
3807    0U,	// LD2LN_WB_D_register
3808    0U,	// LD2LN_WB_H_fixed
3809    0U,	// LD2LN_WB_H_register
3810    0U,	// LD2LN_WB_S_fixed
3811    0U,	// LD2LN_WB_S_register
3812    0U,	// LD2R_16B
3813    0U,	// LD2R_1D
3814    0U,	// LD2R_2D
3815    0U,	// LD2R_2S
3816    0U,	// LD2R_4H
3817    0U,	// LD2R_4S
3818    0U,	// LD2R_8B
3819    0U,	// LD2R_8H
3820    0U,	// LD2R_WB_16B_fixed
3821    0U,	// LD2R_WB_16B_register
3822    0U,	// LD2R_WB_1D_fixed
3823    0U,	// LD2R_WB_1D_register
3824    0U,	// LD2R_WB_2D_fixed
3825    0U,	// LD2R_WB_2D_register
3826    0U,	// LD2R_WB_2S_fixed
3827    0U,	// LD2R_WB_2S_register
3828    0U,	// LD2R_WB_4H_fixed
3829    0U,	// LD2R_WB_4H_register
3830    0U,	// LD2R_WB_4S_fixed
3831    0U,	// LD2R_WB_4S_register
3832    0U,	// LD2R_WB_8B_fixed
3833    0U,	// LD2R_WB_8B_register
3834    0U,	// LD2R_WB_8H_fixed
3835    0U,	// LD2R_WB_8H_register
3836    0U,	// LD2WB_16B_fixed
3837    0U,	// LD2WB_16B_register
3838    0U,	// LD2WB_2D_fixed
3839    0U,	// LD2WB_2D_register
3840    0U,	// LD2WB_2S_fixed
3841    0U,	// LD2WB_2S_register
3842    0U,	// LD2WB_4H_fixed
3843    0U,	// LD2WB_4H_register
3844    0U,	// LD2WB_4S_fixed
3845    0U,	// LD2WB_4S_register
3846    0U,	// LD2WB_8B_fixed
3847    0U,	// LD2WB_8B_register
3848    0U,	// LD2WB_8H_fixed
3849    0U,	// LD2WB_8H_register
3850    0U,	// LD2_16B
3851    0U,	// LD2_2D
3852    0U,	// LD2_2S
3853    0U,	// LD2_4H
3854    0U,	// LD2_4S
3855    0U,	// LD2_8B
3856    0U,	// LD2_8H
3857    0U,	// LD3LN_B
3858    0U,	// LD3LN_D
3859    0U,	// LD3LN_H
3860    0U,	// LD3LN_S
3861    0U,	// LD3LN_WB_B_fixed
3862    0U,	// LD3LN_WB_B_register
3863    0U,	// LD3LN_WB_D_fixed
3864    0U,	// LD3LN_WB_D_register
3865    0U,	// LD3LN_WB_H_fixed
3866    0U,	// LD3LN_WB_H_register
3867    0U,	// LD3LN_WB_S_fixed
3868    0U,	// LD3LN_WB_S_register
3869    0U,	// LD3R_16B
3870    0U,	// LD3R_1D
3871    0U,	// LD3R_2D
3872    0U,	// LD3R_2S
3873    0U,	// LD3R_4H
3874    0U,	// LD3R_4S
3875    0U,	// LD3R_8B
3876    0U,	// LD3R_8H
3877    0U,	// LD3R_WB_16B_fixed
3878    0U,	// LD3R_WB_16B_register
3879    0U,	// LD3R_WB_1D_fixed
3880    0U,	// LD3R_WB_1D_register
3881    0U,	// LD3R_WB_2D_fixed
3882    0U,	// LD3R_WB_2D_register
3883    0U,	// LD3R_WB_2S_fixed
3884    0U,	// LD3R_WB_2S_register
3885    0U,	// LD3R_WB_4H_fixed
3886    0U,	// LD3R_WB_4H_register
3887    0U,	// LD3R_WB_4S_fixed
3888    0U,	// LD3R_WB_4S_register
3889    0U,	// LD3R_WB_8B_fixed
3890    0U,	// LD3R_WB_8B_register
3891    0U,	// LD3R_WB_8H_fixed
3892    0U,	// LD3R_WB_8H_register
3893    0U,	// LD3WB_16B_fixed
3894    0U,	// LD3WB_16B_register
3895    0U,	// LD3WB_2D_fixed
3896    0U,	// LD3WB_2D_register
3897    0U,	// LD3WB_2S_fixed
3898    0U,	// LD3WB_2S_register
3899    0U,	// LD3WB_4H_fixed
3900    0U,	// LD3WB_4H_register
3901    0U,	// LD3WB_4S_fixed
3902    0U,	// LD3WB_4S_register
3903    0U,	// LD3WB_8B_fixed
3904    0U,	// LD3WB_8B_register
3905    0U,	// LD3WB_8H_fixed
3906    0U,	// LD3WB_8H_register
3907    0U,	// LD3_16B
3908    0U,	// LD3_2D
3909    0U,	// LD3_2S
3910    0U,	// LD3_4H
3911    0U,	// LD3_4S
3912    0U,	// LD3_8B
3913    0U,	// LD3_8H
3914    0U,	// LD4LN_B
3915    0U,	// LD4LN_D
3916    0U,	// LD4LN_H
3917    0U,	// LD4LN_S
3918    0U,	// LD4LN_WB_B_fixed
3919    0U,	// LD4LN_WB_B_register
3920    0U,	// LD4LN_WB_D_fixed
3921    0U,	// LD4LN_WB_D_register
3922    0U,	// LD4LN_WB_H_fixed
3923    0U,	// LD4LN_WB_H_register
3924    0U,	// LD4LN_WB_S_fixed
3925    0U,	// LD4LN_WB_S_register
3926    0U,	// LD4R_16B
3927    0U,	// LD4R_1D
3928    0U,	// LD4R_2D
3929    0U,	// LD4R_2S
3930    0U,	// LD4R_4H
3931    0U,	// LD4R_4S
3932    0U,	// LD4R_8B
3933    0U,	// LD4R_8H
3934    0U,	// LD4R_WB_16B_fixed
3935    0U,	// LD4R_WB_16B_register
3936    0U,	// LD4R_WB_1D_fixed
3937    0U,	// LD4R_WB_1D_register
3938    0U,	// LD4R_WB_2D_fixed
3939    0U,	// LD4R_WB_2D_register
3940    0U,	// LD4R_WB_2S_fixed
3941    0U,	// LD4R_WB_2S_register
3942    0U,	// LD4R_WB_4H_fixed
3943    0U,	// LD4R_WB_4H_register
3944    0U,	// LD4R_WB_4S_fixed
3945    0U,	// LD4R_WB_4S_register
3946    0U,	// LD4R_WB_8B_fixed
3947    0U,	// LD4R_WB_8B_register
3948    0U,	// LD4R_WB_8H_fixed
3949    0U,	// LD4R_WB_8H_register
3950    0U,	// LD4WB_16B_fixed
3951    0U,	// LD4WB_16B_register
3952    0U,	// LD4WB_2D_fixed
3953    0U,	// LD4WB_2D_register
3954    0U,	// LD4WB_2S_fixed
3955    0U,	// LD4WB_2S_register
3956    0U,	// LD4WB_4H_fixed
3957    0U,	// LD4WB_4H_register
3958    0U,	// LD4WB_4S_fixed
3959    0U,	// LD4WB_4S_register
3960    0U,	// LD4WB_8B_fixed
3961    0U,	// LD4WB_8B_register
3962    0U,	// LD4WB_8H_fixed
3963    0U,	// LD4WB_8H_register
3964    0U,	// LD4_16B
3965    0U,	// LD4_2D
3966    0U,	// LD4_2S
3967    0U,	// LD4_4H
3968    0U,	// LD4_4S
3969    0U,	// LD4_8B
3970    0U,	// LD4_8H
3971    6U,	// LDAR_byte
3972    6U,	// LDAR_dword
3973    6U,	// LDAR_hword
3974    6U,	// LDAR_word
3975    6662U,	// LDAXP_dword
3976    6662U,	// LDAXP_word
3977    6U,	// LDAXR_byte
3978    6U,	// LDAXR_dword
3979    6U,	// LDAXR_hword
3980    6U,	// LDAXR_word
3981    299014U,	// LDPSWx
3982    318542U,	// LDPSWx_PostInd
3983    1364046U,	// LDPSWx_PreInd
3984    218U,	// LDRSBw
3985    6U,	// LDRSBw_PostInd
3986    226U,	// LDRSBw_PreInd
3987    234U,	// LDRSBw_U
3988    331778U,	// LDRSBw_Wm_RegOffset
3989    348162U,	// LDRSBw_Xm_RegOffset
3990    218U,	// LDRSBx
3991    6U,	// LDRSBx_PostInd
3992    226U,	// LDRSBx_PreInd
3993    234U,	// LDRSBx_U
3994    331778U,	// LDRSBx_Wm_RegOffset
3995    348162U,	// LDRSBx_Xm_RegOffset
3996    242U,	// LDRSHw
3997    6U,	// LDRSHw_PostInd
3998    226U,	// LDRSHw_PreInd
3999    234U,	// LDRSHw_U
4000    364546U,	// LDRSHw_Wm_RegOffset
4001    380930U,	// LDRSHw_Xm_RegOffset
4002    242U,	// LDRSHx
4003    6U,	// LDRSHx_PostInd
4004    226U,	// LDRSHx_PreInd
4005    234U,	// LDRSHx_U
4006    364546U,	// LDRSHx_Wm_RegOffset
4007    380930U,	// LDRSHx_Xm_RegOffset
4008    250U,	// LDRSWx
4009    6U,	// LDRSWx_PostInd
4010    226U,	// LDRSWx_PreInd
4011    397314U,	// LDRSWx_Wm_RegOffset
4012    413698U,	// LDRSWx_Xm_RegOffset
4013    0U,	// LDRSWx_lit
4014    0U,	// LDRd_lit
4015    0U,	// LDRq_lit
4016    0U,	// LDRs_lit
4017    0U,	// LDRw_lit
4018    0U,	// LDRx_lit
4019    234U,	// LDTRSBw
4020    234U,	// LDTRSBx
4021    234U,	// LDTRSHw
4022    234U,	// LDTRSHx
4023    234U,	// LDTRSWx
4024    234U,	// LDURSWx
4025    6662U,	// LDXP_dword
4026    6662U,	// LDXP_word
4027    6U,	// LDXR_byte
4028    6U,	// LDXR_dword
4029    6U,	// LDXR_hword
4030    6U,	// LDXR_word
4031    242U,	// LS16_LDR
4032    234U,	// LS16_LDUR
4033    6U,	// LS16_PostInd_LDR
4034    6U,	// LS16_PostInd_STR
4035    226U,	// LS16_PreInd_LDR
4036    226U,	// LS16_PreInd_STR
4037    242U,	// LS16_STR
4038    234U,	// LS16_STUR
4039    234U,	// LS16_UnPriv_LDR
4040    234U,	// LS16_UnPriv_STR
4041    364546U,	// LS16_Wm_RegOffset_LDR
4042    364546U,	// LS16_Wm_RegOffset_STR
4043    380930U,	// LS16_Xm_RegOffset_LDR
4044    380930U,	// LS16_Xm_RegOffset_STR
4045    250U,	// LS32_LDR
4046    234U,	// LS32_LDUR
4047    6U,	// LS32_PostInd_LDR
4048    6U,	// LS32_PostInd_STR
4049    226U,	// LS32_PreInd_LDR
4050    226U,	// LS32_PreInd_STR
4051    250U,	// LS32_STR
4052    234U,	// LS32_STUR
4053    234U,	// LS32_UnPriv_LDR
4054    234U,	// LS32_UnPriv_STR
4055    397314U,	// LS32_Wm_RegOffset_LDR
4056    397314U,	// LS32_Wm_RegOffset_STR
4057    413698U,	// LS32_Xm_RegOffset_LDR
4058    413698U,	// LS32_Xm_RegOffset_STR
4059    258U,	// LS64_LDR
4060    234U,	// LS64_LDUR
4061    6U,	// LS64_PostInd_LDR
4062    6U,	// LS64_PostInd_STR
4063    226U,	// LS64_PreInd_LDR
4064    226U,	// LS64_PreInd_STR
4065    258U,	// LS64_STR
4066    234U,	// LS64_STUR
4067    234U,	// LS64_UnPriv_LDR
4068    234U,	// LS64_UnPriv_STR
4069    430082U,	// LS64_Wm_RegOffset_LDR
4070    430082U,	// LS64_Wm_RegOffset_STR
4071    446466U,	// LS64_Xm_RegOffset_LDR
4072    446466U,	// LS64_Xm_RegOffset_STR
4073    218U,	// LS8_LDR
4074    234U,	// LS8_LDUR
4075    6U,	// LS8_PostInd_LDR
4076    6U,	// LS8_PostInd_STR
4077    226U,	// LS8_PreInd_LDR
4078    226U,	// LS8_PreInd_STR
4079    218U,	// LS8_STR
4080    234U,	// LS8_STUR
4081    234U,	// LS8_UnPriv_LDR
4082    234U,	// LS8_UnPriv_STR
4083    331778U,	// LS8_Wm_RegOffset_LDR
4084    331778U,	// LS8_Wm_RegOffset_STR
4085    348162U,	// LS8_Xm_RegOffset_LDR
4086    348162U,	// LS8_Xm_RegOffset_STR
4087    266U,	// LSFP128_LDR
4088    234U,	// LSFP128_LDUR
4089    6U,	// LSFP128_PostInd_LDR
4090    6U,	// LSFP128_PostInd_STR
4091    226U,	// LSFP128_PreInd_LDR
4092    226U,	// LSFP128_PreInd_STR
4093    266U,	// LSFP128_STR
4094    234U,	// LSFP128_STUR
4095    462850U,	// LSFP128_Wm_RegOffset_LDR
4096    462850U,	// LSFP128_Wm_RegOffset_STR
4097    479234U,	// LSFP128_Xm_RegOffset_LDR
4098    479234U,	// LSFP128_Xm_RegOffset_STR
4099    242U,	// LSFP16_LDR
4100    234U,	// LSFP16_LDUR
4101    6U,	// LSFP16_PostInd_LDR
4102    6U,	// LSFP16_PostInd_STR
4103    226U,	// LSFP16_PreInd_LDR
4104    226U,	// LSFP16_PreInd_STR
4105    242U,	// LSFP16_STR
4106    234U,	// LSFP16_STUR
4107    364546U,	// LSFP16_Wm_RegOffset_LDR
4108    364546U,	// LSFP16_Wm_RegOffset_STR
4109    380930U,	// LSFP16_Xm_RegOffset_LDR
4110    380930U,	// LSFP16_Xm_RegOffset_STR
4111    250U,	// LSFP32_LDR
4112    234U,	// LSFP32_LDUR
4113    6U,	// LSFP32_PostInd_LDR
4114    6U,	// LSFP32_PostInd_STR
4115    226U,	// LSFP32_PreInd_LDR
4116    226U,	// LSFP32_PreInd_STR
4117    250U,	// LSFP32_STR
4118    234U,	// LSFP32_STUR
4119    397314U,	// LSFP32_Wm_RegOffset_LDR
4120    397314U,	// LSFP32_Wm_RegOffset_STR
4121    413698U,	// LSFP32_Xm_RegOffset_LDR
4122    413698U,	// LSFP32_Xm_RegOffset_STR
4123    258U,	// LSFP64_LDR
4124    234U,	// LSFP64_LDUR
4125    6U,	// LSFP64_PostInd_LDR
4126    6U,	// LSFP64_PostInd_STR
4127    226U,	// LSFP64_PreInd_LDR
4128    226U,	// LSFP64_PreInd_STR
4129    258U,	// LSFP64_STR
4130    234U,	// LSFP64_STUR
4131    430082U,	// LSFP64_Wm_RegOffset_LDR
4132    430082U,	// LSFP64_Wm_RegOffset_STR
4133    446466U,	// LSFP64_Xm_RegOffset_LDR
4134    446466U,	// LSFP64_Xm_RegOffset_STR
4135    218U,	// LSFP8_LDR
4136    234U,	// LSFP8_LDUR
4137    6U,	// LSFP8_PostInd_LDR
4138    6U,	// LSFP8_PostInd_STR
4139    226U,	// LSFP8_PreInd_LDR
4140    226U,	// LSFP8_PreInd_STR
4141    218U,	// LSFP8_STR
4142    234U,	// LSFP8_STUR
4143    331778U,	// LSFP8_Wm_RegOffset_LDR
4144    331778U,	// LSFP8_Wm_RegOffset_STR
4145    348162U,	// LSFP8_Xm_RegOffset_LDR
4146    348162U,	// LSFP8_Xm_RegOffset_STR
4147    495622U,	// LSFPPair128_LDR
4148    495622U,	// LSFPPair128_NonTemp_LDR
4149    495622U,	// LSFPPair128_NonTemp_STR
4150    515150U,	// LSFPPair128_PostInd_LDR
4151    515150U,	// LSFPPair128_PostInd_STR
4152    1560654U,	// LSFPPair128_PreInd_LDR
4153    1560654U,	// LSFPPair128_PreInd_STR
4154    495622U,	// LSFPPair128_STR
4155    299014U,	// LSFPPair32_LDR
4156    299014U,	// LSFPPair32_NonTemp_LDR
4157    299014U,	// LSFPPair32_NonTemp_STR
4158    318542U,	// LSFPPair32_PostInd_LDR
4159    318542U,	// LSFPPair32_PostInd_STR
4160    1364046U,	// LSFPPair32_PreInd_LDR
4161    1364046U,	// LSFPPair32_PreInd_STR
4162    299014U,	// LSFPPair32_STR
4163    528390U,	// LSFPPair64_LDR
4164    528390U,	// LSFPPair64_NonTemp_LDR
4165    528390U,	// LSFPPair64_NonTemp_STR
4166    547918U,	// LSFPPair64_PostInd_LDR
4167    547918U,	// LSFPPair64_PostInd_STR
4168    1593422U,	// LSFPPair64_PreInd_LDR
4169    1593422U,	// LSFPPair64_PreInd_STR
4170    528390U,	// LSFPPair64_STR
4171    2U,	// LSLVwww
4172    2U,	// LSLVxxx
4173    2U,	// LSLwwi
4174    2U,	// LSLxxi
4175    299014U,	// LSPair32_LDR
4176    299014U,	// LSPair32_NonTemp_LDR
4177    299014U,	// LSPair32_NonTemp_STR
4178    318542U,	// LSPair32_PostInd_LDR
4179    318542U,	// LSPair32_PostInd_STR
4180    1364046U,	// LSPair32_PreInd_LDR
4181    1364046U,	// LSPair32_PreInd_STR
4182    299014U,	// LSPair32_STR
4183    528390U,	// LSPair64_LDR
4184    528390U,	// LSPair64_NonTemp_LDR
4185    528390U,	// LSPair64_NonTemp_STR
4186    547918U,	// LSPair64_PostInd_LDR
4187    547918U,	// LSPair64_PostInd_STR
4188    1593422U,	// LSPair64_PreInd_LDR
4189    1593422U,	// LSPair64_PreInd_STR
4190    528390U,	// LSPair64_STR
4191    2U,	// LSRVwww
4192    2U,	// LSRVxxx
4193    2U,	// LSRwwi
4194    2U,	// LSRxxi
4195    249858U,	// MADDwwww
4196    249858U,	// MADDxxxx
4197    268299U,	// MLAvve_2s4s
4198    269835U,	// MLAvve_4h8h
4199    268298U,	// MLAvve_4s4s
4200    269834U,	// MLAvve_8h8h
4201    2059U,	// MLAvvv_16B
4202    2571U,	// MLAvvv_2S
4203    3083U,	// MLAvvv_4H
4204    1546U,	// MLAvvv_4S
4205    3595U,	// MLAvvv_8B
4206    522U,	// MLAvvv_8H
4207    268299U,	// MLSvve_2s4s
4208    269835U,	// MLSvve_4h8h
4209    268298U,	// MLSvve_4s4s
4210    269834U,	// MLSvve_8h8h
4211    2059U,	// MLSvvv_16B
4212    2571U,	// MLSvvv_2S
4213    3083U,	// MLSvvv_4H
4214    1546U,	// MLSvvv_4S
4215    3595U,	// MLSvvv_8B
4216    522U,	// MLSvvv_8H
4217    0U,	// MOVIdi
4218    1U,	// MOVIvi_16B
4219    0U,	// MOVIvi_2D
4220    1U,	// MOVIvi_8B
4221    6U,	// MOVIvi_lsl_2S
4222    7U,	// MOVIvi_lsl_4H
4223    6U,	// MOVIvi_lsl_4S
4224    7U,	// MOVIvi_lsl_8H
4225    7U,	// MOVIvi_msl_2S
4226    7U,	// MOVIvi_msl_4S
4227    0U,	// MOVKwii
4228    0U,	// MOVKxii
4229    0U,	// MOVNwii
4230    0U,	// MOVNxii
4231    0U,	// MOVZwii
4232    0U,	// MOVZxii
4233    0U,	// MRSxi
4234    0U,	// MSRii
4235    0U,	// MSRix
4236    249858U,	// MSUBwwww
4237    249858U,	// MSUBxxxx
4238    284691U,	// MULve_2s4s
4239    286227U,	// MULve_4h8h
4240    284690U,	// MULve_4s4s
4241    286226U,	// MULve_8h8h
4242    2067U,	// MULvvv_16B
4243    2579U,	// MULvvv_2S
4244    3091U,	// MULvvv_4H
4245    1554U,	// MULvvv_4S
4246    3603U,	// MULvvv_8B
4247    530U,	// MULvvv_8H
4248    6U,	// MVNIvi_lsl_2S
4249    7U,	// MVNIvi_lsl_4H
4250    6U,	// MVNIvi_lsl_4S
4251    7U,	// MVNIvi_lsl_8H
4252    7U,	// MVNIvi_msl_2S
4253    7U,	// MVNIvi_msl_4S
4254    90U,	// MVNww_asr
4255    98U,	// MVNww_lsl
4256    106U,	// MVNww_lsr
4257    274U,	// MVNww_ror
4258    90U,	// MVNxx_asr
4259    98U,	// MVNxx_lsl
4260    106U,	// MVNxx_lsr
4261    274U,	// MVNxx_ror
4262    0U,	// NEG16b
4263    0U,	// NEG2d
4264    0U,	// NEG2s
4265    0U,	// NEG4h
4266    1U,	// NEG4s
4267    1U,	// NEG8b
4268    1U,	// NEG8h
4269    1U,	// NEGdd
4270    0U,	// NOT16b
4271    1U,	// NOT8b
4272    2067U,	// ORNvvv_16B
4273    3603U,	// ORNvvv_8B
4274    4098U,	// ORNwww_asr
4275    20482U,	// ORNwww_lsl
4276    36866U,	// ORNwww_lsr
4277    184322U,	// ORNwww_ror
4278    4098U,	// ORNxxx_asr
4279    20482U,	// ORNxxx_lsl
4280    36866U,	// ORNxxx_lsr
4281    184322U,	// ORNxxx_ror
4282    4U,	// ORRvi_lsl_2S
4283    4U,	// ORRvi_lsl_4H
4284    4U,	// ORRvi_lsl_4S
4285    4U,	// ORRvi_lsl_8H
4286    2067U,	// ORRvvv_16B
4287    3603U,	// ORRvvv_8B
4288    42U,	// ORRwwi
4289    4098U,	// ORRwww_asr
4290    20482U,	// ORRwww_lsl
4291    36866U,	// ORRwww_lsr
4292    184322U,	// ORRwww_ror
4293    50U,	// ORRxxi
4294    4098U,	// ORRxxx_asr
4295    20482U,	// ORRxxx_lsl
4296    36866U,	// ORRxxx_lsr
4297    184322U,	// ORRxxx_ror
4298    0U,	// PMULL2vvv_1q2d
4299    2067U,	// PMULL2vvv_8h16b
4300    0U,	// PMULLvvv_1q1d
4301    3603U,	// PMULLvvv_8h8b
4302    2067U,	// PMULvvv_16B
4303    3603U,	// PMULvvv_8B
4304    258U,	// PRFM
4305    430082U,	// PRFM_Wm_RegOffset
4306    446466U,	// PRFM_Xm_RegOffset
4307    0U,	// PRFM_lit
4308    234U,	// PRFUM
4309    74U,	// QRSHRUNvvi_16B
4310    2U,	// QRSHRUNvvi_2S
4311    2U,	// QRSHRUNvvi_4H
4312    74U,	// QRSHRUNvvi_4S
4313    2U,	// QRSHRUNvvi_8B
4314    74U,	// QRSHRUNvvi_8H
4315    74U,	// QSHRUNvvi_16B
4316    2U,	// QSHRUNvvi_2S
4317    2U,	// QSHRUNvvi_4H
4318    74U,	// QSHRUNvvi_4S
4319    2U,	// QSHRUNvvi_8B
4320    74U,	// QSHRUNvvi_8H
4321    522U,	// RADDHN2vvv_16b8h
4322    1034U,	// RADDHN2vvv_4s2d
4323    1546U,	// RADDHN2vvv_8h4s
4324    1042U,	// RADDHNvvv_2s2d
4325    1554U,	// RADDHNvvv_4h4s
4326    530U,	// RADDHNvvv_8b8h
4327    0U,	// RBIT16b
4328    1U,	// RBIT8b
4329    1U,	// RBITww
4330    1U,	// RBITxx
4331    0U,	// RET
4332    0U,	// RETx
4333    0U,	// REV16_16b
4334    1U,	// REV16_8b
4335    1U,	// REV16ww
4336    1U,	// REV16xx
4337    0U,	// REV32_16b
4338    0U,	// REV32_4h
4339    1U,	// REV32_8b
4340    1U,	// REV32_8h
4341    1U,	// REV32xx
4342    0U,	// REV64_16b
4343    0U,	// REV64_2s
4344    0U,	// REV64_4h
4345    1U,	// REV64_4s
4346    1U,	// REV64_8b
4347    1U,	// REV64_8h
4348    1U,	// REVww
4349    1U,	// REVxx
4350    2U,	// RORVwww
4351    2U,	// RORVxxx
4352    74U,	// RSHRNvvi_16B
4353    2U,	// RSHRNvvi_2S
4354    2U,	// RSHRNvvi_4H
4355    74U,	// RSHRNvvi_4S
4356    2U,	// RSHRNvvi_8B
4357    74U,	// RSHRNvvi_8H
4358    522U,	// RSUBHN2vvv_16b8h
4359    1034U,	// RSUBHN2vvv_4s2d
4360    1546U,	// RSUBHN2vvv_8h4s
4361    1042U,	// RSUBHNvvv_2s2d
4362    1554U,	// RSUBHNvvv_4h4s
4363    530U,	// RSUBHNvvv_8b8h
4364    1546U,	// SABAL2vvv_2d2s
4365    522U,	// SABAL2vvv_4s4h
4366    2059U,	// SABAL2vvv_8h8b
4367    2571U,	// SABALvvv_2d2s
4368    3083U,	// SABALvvv_4s4h
4369    3595U,	// SABALvvv_8h8b
4370    2059U,	// SABAvvv_16B
4371    2571U,	// SABAvvv_2S
4372    3083U,	// SABAvvv_4H
4373    1546U,	// SABAvvv_4S
4374    3595U,	// SABAvvv_8B
4375    522U,	// SABAvvv_8H
4376    1554U,	// SABDL2vvv_2d2s
4377    530U,	// SABDL2vvv_4s4h
4378    2067U,	// SABDL2vvv_8h8b
4379    2579U,	// SABDLvvv_2d2s
4380    3091U,	// SABDLvvv_4s4h
4381    3603U,	// SABDLvvv_8h8b
4382    2067U,	// SABDvvv_16B
4383    2579U,	// SABDvvv_2S
4384    3091U,	// SABDvvv_4H
4385    1554U,	// SABDvvv_4S
4386    3603U,	// SABDvvv_8B
4387    530U,	// SABDvvv_8H
4388    0U,	// SADALP16b8h
4389    0U,	// SADALP2s1d
4390    0U,	// SADALP4h2s
4391    1U,	// SADALP4s2d
4392    1U,	// SADALP8b4h
4393    1U,	// SADALP8h4s
4394    1554U,	// SADDL2vvv_2d4s
4395    530U,	// SADDL2vvv_4s8h
4396    2067U,	// SADDL2vvv_8h16b
4397    0U,	// SADDLP16b8h
4398    0U,	// SADDLP2s1d
4399    0U,	// SADDLP4h2s
4400    1U,	// SADDLP4s2d
4401    1U,	// SADDLP8b4h
4402    1U,	// SADDLP8h4s
4403    1U,	// SADDLV_1d4s
4404    0U,	// SADDLV_1h16b
4405    1U,	// SADDLV_1h8b
4406    0U,	// SADDLV_1s4h
4407    1U,	// SADDLV_1s8h
4408    2579U,	// SADDLvvv_2d2s
4409    3091U,	// SADDLvvv_4s4h
4410    3603U,	// SADDLvvv_8h8b
4411    1554U,	// SADDW2vvv_2d4s
4412    530U,	// SADDW2vvv_4s8h
4413    2066U,	// SADDW2vvv_8h16b
4414    2578U,	// SADDWvvv_2d2s
4415    3090U,	// SADDWvvv_4s4h
4416    3602U,	// SADDWvvv_8h8b
4417    2U,	// SBCSwww
4418    2U,	// SBCSxxx
4419    2U,	// SBCwww
4420    2U,	// SBCxxx
4421    282U,	// SBFIZwwii
4422    290U,	// SBFIZxxii
4423    249858U,	// SBFMwwii
4424    249858U,	// SBFMxxii
4425    561154U,	// SBFXwwii
4426    561154U,	// SBFXxxii
4427    0U,	// SCVTF_2d
4428    0U,	// SCVTF_2s
4429    1U,	// SCVTF_4s
4430    2U,	// SCVTF_Nddi
4431    2U,	// SCVTF_Nssi
4432    1U,	// SCVTFdd
4433    1U,	// SCVTFdw
4434    194U,	// SCVTFdwi
4435    1U,	// SCVTFdx
4436    194U,	// SCVTFdxi
4437    1U,	// SCVTFss
4438    1U,	// SCVTFsw
4439    194U,	// SCVTFswi
4440    1U,	// SCVTFsx
4441    194U,	// SCVTFsxi
4442    2U,	// SDIVwww
4443    2U,	// SDIVxxx
4444    1546U,	// SHA1C
4445    1U,	// SHA1H
4446    1546U,	// SHA1M
4447    1546U,	// SHA1P
4448    1546U,	// SHA1SU0
4449    1U,	// SHA1SU1
4450    1546U,	// SHA256H
4451    1546U,	// SHA256H2
4452    1U,	// SHA256SU0
4453    1546U,	// SHA256SU1
4454    2067U,	// SHADDvvv_16B
4455    2579U,	// SHADDvvv_2S
4456    3091U,	// SHADDvvv_4H
4457    1554U,	// SHADDvvv_4S
4458    3603U,	// SHADDvvv_8B
4459    530U,	// SHADDvvv_8H
4460    3U,	// SHLL16b8h
4461    3U,	// SHLL2s2d
4462    3U,	// SHLL4h4s
4463    2U,	// SHLL4s2d
4464    3U,	// SHLL8b8h
4465    2U,	// SHLL8h4s
4466    2U,	// SHLddi
4467    3U,	// SHLvvi_16B
4468    2U,	// SHLvvi_2D
4469    3U,	// SHLvvi_2S
4470    3U,	// SHLvvi_4H
4471    2U,	// SHLvvi_4S
4472    3U,	// SHLvvi_8B
4473    2U,	// SHLvvi_8H
4474    74U,	// SHRNvvi_16B
4475    2U,	// SHRNvvi_2S
4476    2U,	// SHRNvvi_4H
4477    74U,	// SHRNvvi_4S
4478    2U,	// SHRNvvi_8B
4479    74U,	// SHRNvvi_8H
4480    2067U,	// SHSUBvvv_16B
4481    2579U,	// SHSUBvvv_2S
4482    3091U,	// SHSUBvvv_4H
4483    1554U,	// SHSUBvvv_4S
4484    3603U,	// SHSUBvvv_8B
4485    530U,	// SHSUBvvv_8H
4486    74U,	// SLI
4487    75U,	// SLIvvi_16B
4488    74U,	// SLIvvi_2D
4489    75U,	// SLIvvi_2S
4490    75U,	// SLIvvi_4H
4491    74U,	// SLIvvi_4S
4492    75U,	// SLIvvi_8B
4493    74U,	// SLIvvi_8H
4494    249858U,	// SMADDLxwwx
4495    2067U,	// SMAXPvvv_16B
4496    2579U,	// SMAXPvvv_2S
4497    3091U,	// SMAXPvvv_4H
4498    1554U,	// SMAXPvvv_4S
4499    3603U,	// SMAXPvvv_8B
4500    530U,	// SMAXPvvv_8H
4501    0U,	// SMAXV_1b16b
4502    1U,	// SMAXV_1b8b
4503    0U,	// SMAXV_1h4h
4504    1U,	// SMAXV_1h8h
4505    1U,	// SMAXV_1s4s
4506    2067U,	// SMAXvvv_16B
4507    2579U,	// SMAXvvv_2S
4508    3091U,	// SMAXvvv_4H
4509    1554U,	// SMAXvvv_4S
4510    3603U,	// SMAXvvv_8B
4511    530U,	// SMAXvvv_8H
4512    0U,	// SMCi
4513    2067U,	// SMINPvvv_16B
4514    2579U,	// SMINPvvv_2S
4515    3091U,	// SMINPvvv_4H
4516    1554U,	// SMINPvvv_4S
4517    3603U,	// SMINPvvv_8B
4518    530U,	// SMINPvvv_8H
4519    0U,	// SMINV_1b16b
4520    1U,	// SMINV_1b8b
4521    0U,	// SMINV_1h4h
4522    1U,	// SMINV_1h8h
4523    1U,	// SMINV_1s4s
4524    2067U,	// SMINvvv_16B
4525    2579U,	// SMINvvv_2S
4526    3091U,	// SMINvvv_4H
4527    1554U,	// SMINvvv_4S
4528    3603U,	// SMINvvv_8B
4529    530U,	// SMINvvv_8H
4530    1546U,	// SMLAL2vvv_2d4s
4531    522U,	// SMLAL2vvv_4s8h
4532    2059U,	// SMLAL2vvv_8h16b
4533    268299U,	// SMLALvve_2d2s
4534    268298U,	// SMLALvve_2d4s
4535    269835U,	// SMLALvve_4s4h
4536    269834U,	// SMLALvve_4s8h
4537    2571U,	// SMLALvvv_2d2s
4538    3083U,	// SMLALvvv_4s4h
4539    3595U,	// SMLALvvv_8h8b
4540    1546U,	// SMLSL2vvv_2d4s
4541    522U,	// SMLSL2vvv_4s8h
4542    2059U,	// SMLSL2vvv_8h16b
4543    268299U,	// SMLSLvve_2d2s
4544    268298U,	// SMLSLvve_2d4s
4545    269835U,	// SMLSLvve_4s4h
4546    269834U,	// SMLSLvve_4s8h
4547    2571U,	// SMLSLvvv_2d2s
4548    3083U,	// SMLSLvvv_4s4h
4549    3595U,	// SMLSLvvv_8h8b
4550    180U,	// SMOVwb
4551    181U,	// SMOVwh
4552    180U,	// SMOVxb
4553    181U,	// SMOVxh
4554    181U,	// SMOVxs
4555    249858U,	// SMSUBLxwwx
4556    2U,	// SMULHxxx
4557    1554U,	// SMULL2vvv_2d4s
4558    530U,	// SMULL2vvv_4s8h
4559    2067U,	// SMULL2vvv_8h16b
4560    284691U,	// SMULLve_2d2s
4561    284690U,	// SMULLve_2d4s
4562    286227U,	// SMULLve_4s4h
4563    286226U,	// SMULLve_4s8h
4564    2579U,	// SMULLvvv_2d2s
4565    3091U,	// SMULLvvv_4s4h
4566    3603U,	// SMULLvvv_8h8b
4567    0U,	// SQABS16b
4568    0U,	// SQABS2d
4569    0U,	// SQABS2s
4570    0U,	// SQABS4h
4571    1U,	// SQABS4s
4572    1U,	// SQABS8b
4573    1U,	// SQABS8h
4574    1U,	// SQABSbb
4575    1U,	// SQABSdd
4576    1U,	// SQABShh
4577    1U,	// SQABSss
4578    2U,	// SQADDbbb
4579    2U,	// SQADDddd
4580    2U,	// SQADDhhh
4581    2U,	// SQADDsss
4582    2067U,	// SQADDvvv_16B
4583    1042U,	// SQADDvvv_2D
4584    2579U,	// SQADDvvv_2S
4585    3091U,	// SQADDvvv_4H
4586    1554U,	// SQADDvvv_4S
4587    3603U,	// SQADDvvv_8B
4588    530U,	// SQADDvvv_8H
4589    1546U,	// SQDMLAL2vvv_2d4s
4590    522U,	// SQDMLAL2vvv_4s8h
4591    74U,	// SQDMLALdss
4592    268298U,	// SQDMLALdsv_2S
4593    268298U,	// SQDMLALdsv_4S
4594    74U,	// SQDMLALshh
4595    269834U,	// SQDMLALshv_4H
4596    269834U,	// SQDMLALshv_8H
4597    268299U,	// SQDMLALvve_2d2s
4598    268298U,	// SQDMLALvve_2d4s
4599    269835U,	// SQDMLALvve_4s4h
4600    269834U,	// SQDMLALvve_4s8h
4601    2571U,	// SQDMLALvvv_2d2s
4602    3083U,	// SQDMLALvvv_4s4h
4603    1546U,	// SQDMLSL2vvv_2d4s
4604    522U,	// SQDMLSL2vvv_4s8h
4605    74U,	// SQDMLSLdss
4606    268298U,	// SQDMLSLdsv_2S
4607    268298U,	// SQDMLSLdsv_4S
4608    74U,	// SQDMLSLshh
4609    269834U,	// SQDMLSLshv_4H
4610    269834U,	// SQDMLSLshv_8H
4611    268299U,	// SQDMLSLvve_2d2s
4612    268298U,	// SQDMLSLvve_2d4s
4613    269835U,	// SQDMLSLvve_4s4h
4614    269834U,	// SQDMLSLvve_4s8h
4615    2571U,	// SQDMLSLvvv_2d2s
4616    3083U,	// SQDMLSLvvv_4s4h
4617    2U,	// SQDMULHhhh
4618    286226U,	// SQDMULHhhv_4H
4619    286226U,	// SQDMULHhhv_8H
4620    2U,	// SQDMULHsss
4621    284690U,	// SQDMULHssv_2S
4622    284690U,	// SQDMULHssv_4S
4623    284691U,	// SQDMULHve_2s4s
4624    286227U,	// SQDMULHve_4h8h
4625    284690U,	// SQDMULHve_4s4s
4626    286226U,	// SQDMULHve_8h8h
4627    2579U,	// SQDMULHvvv_2S
4628    3091U,	// SQDMULHvvv_4H
4629    1554U,	// SQDMULHvvv_4S
4630    530U,	// SQDMULHvvv_8H
4631    1554U,	// SQDMULL2vvv_2d4s
4632    530U,	// SQDMULL2vvv_4s8h
4633    2U,	// SQDMULLdss
4634    284690U,	// SQDMULLdsv_2S
4635    284690U,	// SQDMULLdsv_4S
4636    2U,	// SQDMULLshh
4637    286226U,	// SQDMULLshv_4H
4638    286226U,	// SQDMULLshv_8H
4639    284691U,	// SQDMULLve_2d2s
4640    284690U,	// SQDMULLve_2d4s
4641    286227U,	// SQDMULLve_4s4h
4642    286226U,	// SQDMULLve_4s8h
4643    2579U,	// SQDMULLvvv_2d2s
4644    3091U,	// SQDMULLvvv_4s4h
4645    0U,	// SQNEG16b
4646    0U,	// SQNEG2d
4647    0U,	// SQNEG2s
4648    0U,	// SQNEG4h
4649    1U,	// SQNEG4s
4650    1U,	// SQNEG8b
4651    1U,	// SQNEG8h
4652    1U,	// SQNEGbb
4653    1U,	// SQNEGdd
4654    1U,	// SQNEGhh
4655    1U,	// SQNEGss
4656    2U,	// SQRDMULHhhh
4657    286226U,	// SQRDMULHhhv_4H
4658    286226U,	// SQRDMULHhhv_8H
4659    2U,	// SQRDMULHsss
4660    284690U,	// SQRDMULHssv_2S
4661    284690U,	// SQRDMULHssv_4S
4662    284691U,	// SQRDMULHve_2s4s
4663    286227U,	// SQRDMULHve_4h8h
4664    284690U,	// SQRDMULHve_4s4s
4665    286226U,	// SQRDMULHve_8h8h
4666    2579U,	// SQRDMULHvvv_2S
4667    3091U,	// SQRDMULHvvv_4H
4668    1554U,	// SQRDMULHvvv_4S
4669    530U,	// SQRDMULHvvv_8H
4670    2U,	// SQRSHLbbb
4671    2U,	// SQRSHLddd
4672    2U,	// SQRSHLhhh
4673    2U,	// SQRSHLsss
4674    2067U,	// SQRSHLvvv_16B
4675    1042U,	// SQRSHLvvv_2D
4676    2579U,	// SQRSHLvvv_2S
4677    3091U,	// SQRSHLvvv_4H
4678    1554U,	// SQRSHLvvv_4S
4679    3603U,	// SQRSHLvvv_8B
4680    530U,	// SQRSHLvvv_8H
4681    2U,	// SQRSHRNbhi
4682    2U,	// SQRSHRNhsi
4683    2U,	// SQRSHRNsdi
4684    74U,	// SQRSHRNvvi_16B
4685    2U,	// SQRSHRNvvi_2S
4686    2U,	// SQRSHRNvvi_4H
4687    74U,	// SQRSHRNvvi_4S
4688    2U,	// SQRSHRNvvi_8B
4689    74U,	// SQRSHRNvvi_8H
4690    2U,	// SQRSHRUNbhi
4691    2U,	// SQRSHRUNhsi
4692    2U,	// SQRSHRUNsdi
4693    2U,	// SQSHLUbbi
4694    2U,	// SQSHLUddi
4695    2U,	// SQSHLUhhi
4696    2U,	// SQSHLUssi
4697    3U,	// SQSHLUvvi_16B
4698    2U,	// SQSHLUvvi_2D
4699    3U,	// SQSHLUvvi_2S
4700    3U,	// SQSHLUvvi_4H
4701    2U,	// SQSHLUvvi_4S
4702    3U,	// SQSHLUvvi_8B
4703    2U,	// SQSHLUvvi_8H
4704    2U,	// SQSHLbbb
4705    2U,	// SQSHLbbi
4706    2U,	// SQSHLddd
4707    2U,	// SQSHLddi
4708    2U,	// SQSHLhhh
4709    2U,	// SQSHLhhi
4710    2U,	// SQSHLssi
4711    2U,	// SQSHLsss
4712    3U,	// SQSHLvvi_16B
4713    2U,	// SQSHLvvi_2D
4714    3U,	// SQSHLvvi_2S
4715    3U,	// SQSHLvvi_4H
4716    2U,	// SQSHLvvi_4S
4717    3U,	// SQSHLvvi_8B
4718    2U,	// SQSHLvvi_8H
4719    2067U,	// SQSHLvvv_16B
4720    1042U,	// SQSHLvvv_2D
4721    2579U,	// SQSHLvvv_2S
4722    3091U,	// SQSHLvvv_4H
4723    1554U,	// SQSHLvvv_4S
4724    3603U,	// SQSHLvvv_8B
4725    530U,	// SQSHLvvv_8H
4726    2U,	// SQSHRNbhi
4727    2U,	// SQSHRNhsi
4728    2U,	// SQSHRNsdi
4729    74U,	// SQSHRNvvi_16B
4730    2U,	// SQSHRNvvi_2S
4731    2U,	// SQSHRNvvi_4H
4732    74U,	// SQSHRNvvi_4S
4733    2U,	// SQSHRNvvi_8B
4734    74U,	// SQSHRNvvi_8H
4735    2U,	// SQSHRUNbhi
4736    2U,	// SQSHRUNhsi
4737    2U,	// SQSHRUNsdi
4738    2U,	// SQSUBbbb
4739    2U,	// SQSUBddd
4740    2U,	// SQSUBhhh
4741    2U,	// SQSUBsss
4742    2067U,	// SQSUBvvv_16B
4743    1042U,	// SQSUBvvv_2D
4744    2579U,	// SQSUBvvv_2S
4745    3091U,	// SQSUBvvv_4H
4746    1554U,	// SQSUBvvv_4S
4747    3603U,	// SQSUBvvv_8B
4748    530U,	// SQSUBvvv_8H
4749    0U,	// SQXTN2d2s
4750    0U,	// SQXTN2d4s
4751    1U,	// SQXTN4s4h
4752    1U,	// SQXTN4s8h
4753    1U,	// SQXTN8h16b
4754    1U,	// SQXTN8h8b
4755    1U,	// SQXTNbh
4756    1U,	// SQXTNhs
4757    1U,	// SQXTNsd
4758    0U,	// SQXTUN2d2s
4759    0U,	// SQXTUN2d4s
4760    1U,	// SQXTUN4s4h
4761    1U,	// SQXTUN4s8h
4762    1U,	// SQXTUN8h16b
4763    1U,	// SQXTUN8h8b
4764    1U,	// SQXTUNbh
4765    1U,	// SQXTUNhs
4766    1U,	// SQXTUNsd
4767    2067U,	// SRHADDvvv_16B
4768    2579U,	// SRHADDvvv_2S
4769    3091U,	// SRHADDvvv_4H
4770    1554U,	// SRHADDvvv_4S
4771    3603U,	// SRHADDvvv_8B
4772    530U,	// SRHADDvvv_8H
4773    74U,	// SRI
4774    75U,	// SRIvvi_16B
4775    74U,	// SRIvvi_2D
4776    75U,	// SRIvvi_2S
4777    75U,	// SRIvvi_4H
4778    74U,	// SRIvvi_4S
4779    75U,	// SRIvvi_8B
4780    74U,	// SRIvvi_8H
4781    2U,	// SRSHLddd
4782    2067U,	// SRSHLvvv_16B
4783    1042U,	// SRSHLvvv_2D
4784    2579U,	// SRSHLvvv_2S
4785    3091U,	// SRSHLvvv_4H
4786    1554U,	// SRSHLvvv_4S
4787    3603U,	// SRSHLvvv_8B
4788    530U,	// SRSHLvvv_8H
4789    2U,	// SRSHRddi
4790    3U,	// SRSHRvvi_16B
4791    2U,	// SRSHRvvi_2D
4792    3U,	// SRSHRvvi_2S
4793    3U,	// SRSHRvvi_4H
4794    2U,	// SRSHRvvi_4S
4795    3U,	// SRSHRvvi_8B
4796    2U,	// SRSHRvvi_8H
4797    74U,	// SRSRA
4798    75U,	// SRSRAvvi_16B
4799    74U,	// SRSRAvvi_2D
4800    75U,	// SRSRAvvi_2S
4801    75U,	// SRSRAvvi_4H
4802    74U,	// SRSRAvvi_4S
4803    75U,	// SRSRAvvi_8B
4804    74U,	// SRSRAvvi_8H
4805    3U,	// SSHLLvvi_16B
4806    3U,	// SSHLLvvi_2S
4807    3U,	// SSHLLvvi_4H
4808    2U,	// SSHLLvvi_4S
4809    3U,	// SSHLLvvi_8B
4810    2U,	// SSHLLvvi_8H
4811    2U,	// SSHLddd
4812    2067U,	// SSHLvvv_16B
4813    1042U,	// SSHLvvv_2D
4814    2579U,	// SSHLvvv_2S
4815    3091U,	// SSHLvvv_4H
4816    1554U,	// SSHLvvv_4S
4817    3603U,	// SSHLvvv_8B
4818    530U,	// SSHLvvv_8H
4819    2U,	// SSHRddi
4820    3U,	// SSHRvvi_16B
4821    2U,	// SSHRvvi_2D
4822    3U,	// SSHRvvi_2S
4823    3U,	// SSHRvvi_4H
4824    2U,	// SSHRvvi_4S
4825    3U,	// SSHRvvi_8B
4826    2U,	// SSHRvvi_8H
4827    74U,	// SSRA
4828    75U,	// SSRAvvi_16B
4829    74U,	// SSRAvvi_2D
4830    75U,	// SSRAvvi_2S
4831    75U,	// SSRAvvi_4H
4832    74U,	// SSRAvvi_4S
4833    75U,	// SSRAvvi_8B
4834    74U,	// SSRAvvi_8H
4835    1554U,	// SSUBL2vvv_2d4s
4836    530U,	// SSUBL2vvv_4s8h
4837    2067U,	// SSUBL2vvv_8h16b
4838    2579U,	// SSUBLvvv_2d2s
4839    3091U,	// SSUBLvvv_4s4h
4840    3603U,	// SSUBLvvv_8h8b
4841    1554U,	// SSUBW2vvv_2d4s
4842    530U,	// SSUBW2vvv_4s8h
4843    2066U,	// SSUBW2vvv_8h16b
4844    2578U,	// SSUBWvvv_2d2s
4845    3090U,	// SSUBWvvv_4s4h
4846    3602U,	// SSUBWvvv_8h8b
4847    0U,	// ST1LN_B
4848    0U,	// ST1LN_D
4849    0U,	// ST1LN_H
4850    0U,	// ST1LN_S
4851    0U,	// ST1LN_WB_B_fixed
4852    0U,	// ST1LN_WB_B_register
4853    0U,	// ST1LN_WB_D_fixed
4854    0U,	// ST1LN_WB_D_register
4855    0U,	// ST1LN_WB_H_fixed
4856    0U,	// ST1LN_WB_H_register
4857    0U,	// ST1LN_WB_S_fixed
4858    0U,	// ST1LN_WB_S_register
4859    0U,	// ST1WB_16B_fixed
4860    0U,	// ST1WB_16B_register
4861    0U,	// ST1WB_1D_fixed
4862    0U,	// ST1WB_1D_register
4863    0U,	// ST1WB_2D_fixed
4864    0U,	// ST1WB_2D_register
4865    0U,	// ST1WB_2S_fixed
4866    0U,	// ST1WB_2S_register
4867    0U,	// ST1WB_4H_fixed
4868    0U,	// ST1WB_4H_register
4869    0U,	// ST1WB_4S_fixed
4870    0U,	// ST1WB_4S_register
4871    0U,	// ST1WB_8B_fixed
4872    0U,	// ST1WB_8B_register
4873    0U,	// ST1WB_8H_fixed
4874    0U,	// ST1WB_8H_register
4875    0U,	// ST1_16B
4876    0U,	// ST1_1D
4877    0U,	// ST1_2D
4878    0U,	// ST1_2S
4879    0U,	// ST1_4H
4880    0U,	// ST1_4S
4881    0U,	// ST1_8B
4882    0U,	// ST1_8H
4883    0U,	// ST1x2WB_16B_fixed
4884    0U,	// ST1x2WB_16B_register
4885    0U,	// ST1x2WB_1D_fixed
4886    0U,	// ST1x2WB_1D_register
4887    0U,	// ST1x2WB_2D_fixed
4888    0U,	// ST1x2WB_2D_register
4889    0U,	// ST1x2WB_2S_fixed
4890    0U,	// ST1x2WB_2S_register
4891    0U,	// ST1x2WB_4H_fixed
4892    0U,	// ST1x2WB_4H_register
4893    0U,	// ST1x2WB_4S_fixed
4894    0U,	// ST1x2WB_4S_register
4895    0U,	// ST1x2WB_8B_fixed
4896    0U,	// ST1x2WB_8B_register
4897    0U,	// ST1x2WB_8H_fixed
4898    0U,	// ST1x2WB_8H_register
4899    0U,	// ST1x2_16B
4900    0U,	// ST1x2_1D
4901    0U,	// ST1x2_2D
4902    0U,	// ST1x2_2S
4903    0U,	// ST1x2_4H
4904    0U,	// ST1x2_4S
4905    0U,	// ST1x2_8B
4906    0U,	// ST1x2_8H
4907    0U,	// ST1x3WB_16B_fixed
4908    0U,	// ST1x3WB_16B_register
4909    0U,	// ST1x3WB_1D_fixed
4910    0U,	// ST1x3WB_1D_register
4911    0U,	// ST1x3WB_2D_fixed
4912    0U,	// ST1x3WB_2D_register
4913    0U,	// ST1x3WB_2S_fixed
4914    0U,	// ST1x3WB_2S_register
4915    0U,	// ST1x3WB_4H_fixed
4916    0U,	// ST1x3WB_4H_register
4917    0U,	// ST1x3WB_4S_fixed
4918    0U,	// ST1x3WB_4S_register
4919    0U,	// ST1x3WB_8B_fixed
4920    0U,	// ST1x3WB_8B_register
4921    0U,	// ST1x3WB_8H_fixed
4922    0U,	// ST1x3WB_8H_register
4923    0U,	// ST1x3_16B
4924    0U,	// ST1x3_1D
4925    0U,	// ST1x3_2D
4926    0U,	// ST1x3_2S
4927    0U,	// ST1x3_4H
4928    0U,	// ST1x3_4S
4929    0U,	// ST1x3_8B
4930    0U,	// ST1x3_8H
4931    0U,	// ST1x4WB_16B_fixed
4932    0U,	// ST1x4WB_16B_register
4933    0U,	// ST1x4WB_1D_fixed
4934    0U,	// ST1x4WB_1D_register
4935    0U,	// ST1x4WB_2D_fixed
4936    0U,	// ST1x4WB_2D_register
4937    0U,	// ST1x4WB_2S_fixed
4938    0U,	// ST1x4WB_2S_register
4939    0U,	// ST1x4WB_4H_fixed
4940    0U,	// ST1x4WB_4H_register
4941    0U,	// ST1x4WB_4S_fixed
4942    0U,	// ST1x4WB_4S_register
4943    0U,	// ST1x4WB_8B_fixed
4944    0U,	// ST1x4WB_8B_register
4945    0U,	// ST1x4WB_8H_fixed
4946    0U,	// ST1x4WB_8H_register
4947    0U,	// ST1x4_16B
4948    0U,	// ST1x4_1D
4949    0U,	// ST1x4_2D
4950    0U,	// ST1x4_2S
4951    0U,	// ST1x4_4H
4952    0U,	// ST1x4_4S
4953    0U,	// ST1x4_8B
4954    0U,	// ST1x4_8H
4955    0U,	// ST2LN_B
4956    0U,	// ST2LN_D
4957    0U,	// ST2LN_H
4958    0U,	// ST2LN_S
4959    0U,	// ST2LN_WB_B_fixed
4960    0U,	// ST2LN_WB_B_register
4961    0U,	// ST2LN_WB_D_fixed
4962    0U,	// ST2LN_WB_D_register
4963    0U,	// ST2LN_WB_H_fixed
4964    0U,	// ST2LN_WB_H_register
4965    0U,	// ST2LN_WB_S_fixed
4966    0U,	// ST2LN_WB_S_register
4967    0U,	// ST2WB_16B_fixed
4968    0U,	// ST2WB_16B_register
4969    0U,	// ST2WB_2D_fixed
4970    0U,	// ST2WB_2D_register
4971    0U,	// ST2WB_2S_fixed
4972    0U,	// ST2WB_2S_register
4973    0U,	// ST2WB_4H_fixed
4974    0U,	// ST2WB_4H_register
4975    0U,	// ST2WB_4S_fixed
4976    0U,	// ST2WB_4S_register
4977    0U,	// ST2WB_8B_fixed
4978    0U,	// ST2WB_8B_register
4979    0U,	// ST2WB_8H_fixed
4980    0U,	// ST2WB_8H_register
4981    0U,	// ST2_16B
4982    0U,	// ST2_2D
4983    0U,	// ST2_2S
4984    0U,	// ST2_4H
4985    0U,	// ST2_4S
4986    0U,	// ST2_8B
4987    0U,	// ST2_8H
4988    0U,	// ST3LN_B
4989    0U,	// ST3LN_D
4990    0U,	// ST3LN_H
4991    0U,	// ST3LN_S
4992    0U,	// ST3LN_WB_B_fixed
4993    0U,	// ST3LN_WB_B_register
4994    0U,	// ST3LN_WB_D_fixed
4995    0U,	// ST3LN_WB_D_register
4996    0U,	// ST3LN_WB_H_fixed
4997    0U,	// ST3LN_WB_H_register
4998    0U,	// ST3LN_WB_S_fixed
4999    0U,	// ST3LN_WB_S_register
5000    0U,	// ST3WB_16B_fixed
5001    0U,	// ST3WB_16B_register
5002    0U,	// ST3WB_2D_fixed
5003    0U,	// ST3WB_2D_register
5004    0U,	// ST3WB_2S_fixed
5005    0U,	// ST3WB_2S_register
5006    0U,	// ST3WB_4H_fixed
5007    0U,	// ST3WB_4H_register
5008    0U,	// ST3WB_4S_fixed
5009    0U,	// ST3WB_4S_register
5010    0U,	// ST3WB_8B_fixed
5011    0U,	// ST3WB_8B_register
5012    0U,	// ST3WB_8H_fixed
5013    0U,	// ST3WB_8H_register
5014    0U,	// ST3_16B
5015    0U,	// ST3_2D
5016    0U,	// ST3_2S
5017    0U,	// ST3_4H
5018    0U,	// ST3_4S
5019    0U,	// ST3_8B
5020    0U,	// ST3_8H
5021    0U,	// ST4LN_B
5022    0U,	// ST4LN_D
5023    0U,	// ST4LN_H
5024    0U,	// ST4LN_S
5025    0U,	// ST4LN_WB_B_fixed
5026    0U,	// ST4LN_WB_B_register
5027    0U,	// ST4LN_WB_D_fixed
5028    0U,	// ST4LN_WB_D_register
5029    0U,	// ST4LN_WB_H_fixed
5030    0U,	// ST4LN_WB_H_register
5031    0U,	// ST4LN_WB_S_fixed
5032    0U,	// ST4LN_WB_S_register
5033    0U,	// ST4WB_16B_fixed
5034    0U,	// ST4WB_16B_register
5035    0U,	// ST4WB_2D_fixed
5036    0U,	// ST4WB_2D_register
5037    0U,	// ST4WB_2S_fixed
5038    0U,	// ST4WB_2S_register
5039    0U,	// ST4WB_4H_fixed
5040    0U,	// ST4WB_4H_register
5041    0U,	// ST4WB_4S_fixed
5042    0U,	// ST4WB_4S_register
5043    0U,	// ST4WB_8B_fixed
5044    0U,	// ST4WB_8B_register
5045    0U,	// ST4WB_8H_fixed
5046    0U,	// ST4WB_8H_register
5047    0U,	// ST4_16B
5048    0U,	// ST4_2D
5049    0U,	// ST4_2S
5050    0U,	// ST4_4H
5051    0U,	// ST4_4S
5052    0U,	// ST4_8B
5053    0U,	// ST4_8H
5054    6U,	// STLR_byte
5055    6U,	// STLR_dword
5056    6U,	// STLR_hword
5057    6U,	// STLR_word
5058    8194U,	// STLXP_dword
5059    8194U,	// STLXP_word
5060    6662U,	// STLXR_byte
5061    6662U,	// STLXR_dword
5062    6662U,	// STLXR_hword
5063    6662U,	// STLXR_word
5064    8194U,	// STXP_dword
5065    8194U,	// STXP_word
5066    6662U,	// STXR_byte
5067    6662U,	// STXR_dword
5068    6662U,	// STXR_hword
5069    6662U,	// STXR_word
5070    522U,	// SUBHN2vvv_16b8h
5071    1034U,	// SUBHN2vvv_4s2d
5072    1546U,	// SUBHN2vvv_8h4s
5073    1042U,	// SUBHNvvv_2s2d
5074    1554U,	// SUBHNvvv_4h4s
5075    530U,	// SUBHNvvv_8b8h
5076    4098U,	// SUBSwww_asr
5077    20482U,	// SUBSwww_lsl
5078    36866U,	// SUBSwww_lsr
5079    53250U,	// SUBSwww_sxtb
5080    69634U,	// SUBSwww_sxth
5081    86018U,	// SUBSwww_sxtw
5082    102402U,	// SUBSwww_sxtx
5083    118786U,	// SUBSwww_uxtb
5084    135170U,	// SUBSwww_uxth
5085    151554U,	// SUBSwww_uxtw
5086    167938U,	// SUBSwww_uxtx
5087    53250U,	// SUBSxxw_sxtb
5088    69634U,	// SUBSxxw_sxth
5089    86018U,	// SUBSxxw_sxtw
5090    118786U,	// SUBSxxw_uxtb
5091    135170U,	// SUBSxxw_uxth
5092    151554U,	// SUBSxxw_uxtw
5093    4098U,	// SUBSxxx_asr
5094    20482U,	// SUBSxxx_lsl
5095    36866U,	// SUBSxxx_lsr
5096    102402U,	// SUBSxxx_sxtx
5097    167938U,	// SUBSxxx_uxtx
5098    2U,	// SUBddd
5099    2067U,	// SUBvvv_16B
5100    1042U,	// SUBvvv_2D
5101    2579U,	// SUBvvv_2S
5102    3091U,	// SUBvvv_4H
5103    1554U,	// SUBvvv_4S
5104    3603U,	// SUBvvv_8B
5105    530U,	// SUBvvv_8H
5106    26U,	// SUBwwi_lsl0_S
5107    0U,	// SUBwwi_lsl0_cmp
5108    26U,	// SUBwwi_lsl0_s
5109    34U,	// SUBwwi_lsl12_S
5110    0U,	// SUBwwi_lsl12_cmp
5111    34U,	// SUBwwi_lsl12_s
5112    4098U,	// SUBwww_asr
5113    20482U,	// SUBwww_lsl
5114    36866U,	// SUBwww_lsr
5115    53250U,	// SUBwww_sxtb
5116    69634U,	// SUBwww_sxth
5117    86018U,	// SUBwww_sxtw
5118    102402U,	// SUBwww_sxtx
5119    118786U,	// SUBwww_uxtb
5120    135170U,	// SUBwww_uxth
5121    151554U,	// SUBwww_uxtw
5122    167938U,	// SUBwww_uxtx
5123    26U,	// SUBxxi_lsl0_S
5124    0U,	// SUBxxi_lsl0_cmp
5125    26U,	// SUBxxi_lsl0_s
5126    34U,	// SUBxxi_lsl12_S
5127    0U,	// SUBxxi_lsl12_cmp
5128    34U,	// SUBxxi_lsl12_s
5129    53250U,	// SUBxxw_sxtb
5130    69634U,	// SUBxxw_sxth
5131    86018U,	// SUBxxw_sxtw
5132    118786U,	// SUBxxw_uxtb
5133    135170U,	// SUBxxw_uxth
5134    151554U,	// SUBxxw_uxtw
5135    4098U,	// SUBxxx_asr
5136    20482U,	// SUBxxx_lsl
5137    36866U,	// SUBxxx_lsr
5138    102402U,	// SUBxxx_sxtx
5139    167938U,	// SUBxxx_uxtx
5140    0U,	// SUQADD16b
5141    0U,	// SUQADD2d
5142    0U,	// SUQADD2s
5143    0U,	// SUQADD4h
5144    1U,	// SUQADD4s
5145    1U,	// SUQADD8b
5146    1U,	// SUQADD8h
5147    1U,	// SUQADDbb
5148    1U,	// SUQADDdd
5149    1U,	// SUQADDhh
5150    1U,	// SUQADDss
5151    0U,	// SVCi
5152    1U,	// SXTBww
5153    1U,	// SXTBxw
5154    1U,	// SXTHww
5155    1U,	// SXTHxw
5156    1U,	// SXTWxw
5157    298U,	// SYSLxicci
5158    0U,	// SYSiccix
5159    0U,	// TAIL_BRx
5160    0U,	// TAIL_Bimm
5161    0U,	// TBL1_16b
5162    1U,	// TBL1_8b
5163    0U,	// TBL2_16b
5164    1U,	// TBL2_8b
5165    0U,	// TBL3_16b
5166    1U,	// TBL3_8b
5167    0U,	// TBL4_16b
5168    1U,	// TBL4_8b
5169    306U,	// TBNZwii
5170    306U,	// TBNZxii
5171    0U,	// TBX1_16b
5172    1U,	// TBX1_8b
5173    0U,	// TBX2_16b
5174    1U,	// TBX2_8b
5175    0U,	// TBX3_16b
5176    1U,	// TBX3_8b
5177    0U,	// TBX4_16b
5178    1U,	// TBX4_8b
5179    306U,	// TBZwii
5180    306U,	// TBZxii
5181    0U,	// TC_RETURNdi
5182    0U,	// TC_RETURNxi
5183    0U,	// TLBIi
5184    1U,	// TLBIix
5185    0U,	// TLSDESCCALL
5186    0U,	// TLSDESC_BLRx
5187    2067U,	// TRN1vvv_16b
5188    1042U,	// TRN1vvv_2d
5189    2579U,	// TRN1vvv_2s
5190    3091U,	// TRN1vvv_4h
5191    1554U,	// TRN1vvv_4s
5192    3603U,	// TRN1vvv_8b
5193    530U,	// TRN1vvv_8h
5194    2067U,	// TRN2vvv_16b
5195    1042U,	// TRN2vvv_2d
5196    2579U,	// TRN2vvv_2s
5197    3091U,	// TRN2vvv_4h
5198    1554U,	// TRN2vvv_4s
5199    3603U,	// TRN2vvv_8b
5200    530U,	// TRN2vvv_8h
5201    90U,	// TSTww_asr
5202    98U,	// TSTww_lsl
5203    106U,	// TSTww_lsr
5204    274U,	// TSTww_ror
5205    90U,	// TSTxx_asr
5206    98U,	// TSTxx_lsl
5207    106U,	// TSTxx_lsr
5208    274U,	// TSTxx_ror
5209    1546U,	// UABAL2vvv_2d2s
5210    522U,	// UABAL2vvv_4s4h
5211    2059U,	// UABAL2vvv_8h8b
5212    2571U,	// UABALvvv_2d2s
5213    3083U,	// UABALvvv_4s4h
5214    3595U,	// UABALvvv_8h8b
5215    2059U,	// UABAvvv_16B
5216    2571U,	// UABAvvv_2S
5217    3083U,	// UABAvvv_4H
5218    1546U,	// UABAvvv_4S
5219    3595U,	// UABAvvv_8B
5220    522U,	// UABAvvv_8H
5221    1554U,	// UABDL2vvv_2d2s
5222    530U,	// UABDL2vvv_4s4h
5223    2067U,	// UABDL2vvv_8h8b
5224    2579U,	// UABDLvvv_2d2s
5225    3091U,	// UABDLvvv_4s4h
5226    3603U,	// UABDLvvv_8h8b
5227    2067U,	// UABDvvv_16B
5228    2579U,	// UABDvvv_2S
5229    3091U,	// UABDvvv_4H
5230    1554U,	// UABDvvv_4S
5231    3603U,	// UABDvvv_8B
5232    530U,	// UABDvvv_8H
5233    0U,	// UADALP16b8h
5234    0U,	// UADALP2s1d
5235    0U,	// UADALP4h2s
5236    1U,	// UADALP4s2d
5237    1U,	// UADALP8b4h
5238    1U,	// UADALP8h4s
5239    1554U,	// UADDL2vvv_2d4s
5240    530U,	// UADDL2vvv_4s8h
5241    2067U,	// UADDL2vvv_8h16b
5242    0U,	// UADDLP16b8h
5243    0U,	// UADDLP2s1d
5244    0U,	// UADDLP4h2s
5245    1U,	// UADDLP4s2d
5246    1U,	// UADDLP8b4h
5247    1U,	// UADDLP8h4s
5248    1U,	// UADDLV_1d4s
5249    0U,	// UADDLV_1h16b
5250    1U,	// UADDLV_1h8b
5251    0U,	// UADDLV_1s4h
5252    1U,	// UADDLV_1s8h
5253    2579U,	// UADDLvvv_2d2s
5254    3091U,	// UADDLvvv_4s4h
5255    3603U,	// UADDLvvv_8h8b
5256    1554U,	// UADDW2vvv_2d4s
5257    530U,	// UADDW2vvv_4s8h
5258    2066U,	// UADDW2vvv_8h16b
5259    2578U,	// UADDWvvv_2d2s
5260    3090U,	// UADDWvvv_4s4h
5261    3602U,	// UADDWvvv_8h8b
5262    282U,	// UBFIZwwii
5263    290U,	// UBFIZxxii
5264    249858U,	// UBFMwwii
5265    249858U,	// UBFMxxii
5266    561154U,	// UBFXwwii
5267    561154U,	// UBFXxxii
5268    0U,	// UCVTF_2d
5269    0U,	// UCVTF_2s
5270    1U,	// UCVTF_4s
5271    2U,	// UCVTF_Nddi
5272    2U,	// UCVTF_Nssi
5273    1U,	// UCVTFdd
5274    1U,	// UCVTFdw
5275    194U,	// UCVTFdwi
5276    1U,	// UCVTFdx
5277    194U,	// UCVTFdxi
5278    1U,	// UCVTFss
5279    1U,	// UCVTFsw
5280    194U,	// UCVTFswi
5281    1U,	// UCVTFsx
5282    194U,	// UCVTFsxi
5283    2U,	// UDIVwww
5284    2U,	// UDIVxxx
5285    2067U,	// UHADDvvv_16B
5286    2579U,	// UHADDvvv_2S
5287    3091U,	// UHADDvvv_4H
5288    1554U,	// UHADDvvv_4S
5289    3603U,	// UHADDvvv_8B
5290    530U,	// UHADDvvv_8H
5291    2067U,	// UHSUBvvv_16B
5292    2579U,	// UHSUBvvv_2S
5293    3091U,	// UHSUBvvv_4H
5294    1554U,	// UHSUBvvv_4S
5295    3603U,	// UHSUBvvv_8B
5296    530U,	// UHSUBvvv_8H
5297    249858U,	// UMADDLxwwx
5298    2067U,	// UMAXPvvv_16B
5299    2579U,	// UMAXPvvv_2S
5300    3091U,	// UMAXPvvv_4H
5301    1554U,	// UMAXPvvv_4S
5302    3603U,	// UMAXPvvv_8B
5303    530U,	// UMAXPvvv_8H
5304    0U,	// UMAXV_1b16b
5305    1U,	// UMAXV_1b8b
5306    0U,	// UMAXV_1h4h
5307    1U,	// UMAXV_1h8h
5308    1U,	// UMAXV_1s4s
5309    2067U,	// UMAXvvv_16B
5310    2579U,	// UMAXvvv_2S
5311    3091U,	// UMAXvvv_4H
5312    1554U,	// UMAXvvv_4S
5313    3603U,	// UMAXvvv_8B
5314    530U,	// UMAXvvv_8H
5315    2067U,	// UMINPvvv_16B
5316    2579U,	// UMINPvvv_2S
5317    3091U,	// UMINPvvv_4H
5318    1554U,	// UMINPvvv_4S
5319    3603U,	// UMINPvvv_8B
5320    530U,	// UMINPvvv_8H
5321    0U,	// UMINV_1b16b
5322    1U,	// UMINV_1b8b
5323    0U,	// UMINV_1h4h
5324    1U,	// UMINV_1h8h
5325    1U,	// UMINV_1s4s
5326    2067U,	// UMINvvv_16B
5327    2579U,	// UMINvvv_2S
5328    3091U,	// UMINvvv_4H
5329    1554U,	// UMINvvv_4S
5330    3603U,	// UMINvvv_8B
5331    530U,	// UMINvvv_8H
5332    1546U,	// UMLAL2vvv_2d4s
5333    522U,	// UMLAL2vvv_4s8h
5334    2059U,	// UMLAL2vvv_8h16b
5335    268299U,	// UMLALvve_2d2s
5336    268298U,	// UMLALvve_2d4s
5337    269835U,	// UMLALvve_4s4h
5338    269834U,	// UMLALvve_4s8h
5339    2571U,	// UMLALvvv_2d2s
5340    3083U,	// UMLALvvv_4s4h
5341    3595U,	// UMLALvvv_8h8b
5342    1546U,	// UMLSL2vvv_2d4s
5343    522U,	// UMLSL2vvv_4s8h
5344    2059U,	// UMLSL2vvv_8h16b
5345    268299U,	// UMLSLvve_2d2s
5346    268298U,	// UMLSLvve_2d4s
5347    269835U,	// UMLSLvve_4s4h
5348    269834U,	// UMLSLvve_4s8h
5349    2571U,	// UMLSLvvv_2d2s
5350    3083U,	// UMLSLvvv_4s4h
5351    3595U,	// UMLSLvvv_8h8b
5352    180U,	// UMOVwb
5353    181U,	// UMOVwh
5354    181U,	// UMOVws
5355    180U,	// UMOVxd
5356    249858U,	// UMSUBLxwwx
5357    2U,	// UMULHxxx
5358    1554U,	// UMULL2vvv_2d4s
5359    530U,	// UMULL2vvv_4s8h
5360    2067U,	// UMULL2vvv_8h16b
5361    284691U,	// UMULLve_2d2s
5362    284690U,	// UMULLve_2d4s
5363    286227U,	// UMULLve_4s4h
5364    286226U,	// UMULLve_4s8h
5365    2579U,	// UMULLvvv_2d2s
5366    3091U,	// UMULLvvv_4s4h
5367    3603U,	// UMULLvvv_8h8b
5368    2U,	// UQADDbbb
5369    2U,	// UQADDddd
5370    2U,	// UQADDhhh
5371    2U,	// UQADDsss
5372    2067U,	// UQADDvvv_16B
5373    1042U,	// UQADDvvv_2D
5374    2579U,	// UQADDvvv_2S
5375    3091U,	// UQADDvvv_4H
5376    1554U,	// UQADDvvv_4S
5377    3603U,	// UQADDvvv_8B
5378    530U,	// UQADDvvv_8H
5379    2U,	// UQRSHLbbb
5380    2U,	// UQRSHLddd
5381    2U,	// UQRSHLhhh
5382    2U,	// UQRSHLsss
5383    2067U,	// UQRSHLvvv_16B
5384    1042U,	// UQRSHLvvv_2D
5385    2579U,	// UQRSHLvvv_2S
5386    3091U,	// UQRSHLvvv_4H
5387    1554U,	// UQRSHLvvv_4S
5388    3603U,	// UQRSHLvvv_8B
5389    530U,	// UQRSHLvvv_8H
5390    2U,	// UQRSHRNbhi
5391    2U,	// UQRSHRNhsi
5392    2U,	// UQRSHRNsdi
5393    74U,	// UQRSHRNvvi_16B
5394    2U,	// UQRSHRNvvi_2S
5395    2U,	// UQRSHRNvvi_4H
5396    74U,	// UQRSHRNvvi_4S
5397    2U,	// UQRSHRNvvi_8B
5398    74U,	// UQRSHRNvvi_8H
5399    2U,	// UQSHLbbb
5400    2U,	// UQSHLbbi
5401    2U,	// UQSHLddd
5402    2U,	// UQSHLddi
5403    2U,	// UQSHLhhh
5404    2U,	// UQSHLhhi
5405    2U,	// UQSHLssi
5406    2U,	// UQSHLsss
5407    3U,	// UQSHLvvi_16B
5408    2U,	// UQSHLvvi_2D
5409    3U,	// UQSHLvvi_2S
5410    3U,	// UQSHLvvi_4H
5411    2U,	// UQSHLvvi_4S
5412    3U,	// UQSHLvvi_8B
5413    2U,	// UQSHLvvi_8H
5414    2067U,	// UQSHLvvv_16B
5415    1042U,	// UQSHLvvv_2D
5416    2579U,	// UQSHLvvv_2S
5417    3091U,	// UQSHLvvv_4H
5418    1554U,	// UQSHLvvv_4S
5419    3603U,	// UQSHLvvv_8B
5420    530U,	// UQSHLvvv_8H
5421    2U,	// UQSHRNbhi
5422    2U,	// UQSHRNhsi
5423    2U,	// UQSHRNsdi
5424    74U,	// UQSHRNvvi_16B
5425    2U,	// UQSHRNvvi_2S
5426    2U,	// UQSHRNvvi_4H
5427    74U,	// UQSHRNvvi_4S
5428    2U,	// UQSHRNvvi_8B
5429    74U,	// UQSHRNvvi_8H
5430    2U,	// UQSUBbbb
5431    2U,	// UQSUBddd
5432    2U,	// UQSUBhhh
5433    2U,	// UQSUBsss
5434    2067U,	// UQSUBvvv_16B
5435    1042U,	// UQSUBvvv_2D
5436    2579U,	// UQSUBvvv_2S
5437    3091U,	// UQSUBvvv_4H
5438    1554U,	// UQSUBvvv_4S
5439    3603U,	// UQSUBvvv_8B
5440    530U,	// UQSUBvvv_8H
5441    0U,	// UQXTN2d2s
5442    0U,	// UQXTN2d4s
5443    1U,	// UQXTN4s4h
5444    1U,	// UQXTN4s8h
5445    1U,	// UQXTN8h16b
5446    1U,	// UQXTN8h8b
5447    1U,	// UQXTNbh
5448    1U,	// UQXTNhs
5449    1U,	// UQXTNsd
5450    0U,	// URECPE2s
5451    1U,	// URECPE4s
5452    2067U,	// URHADDvvv_16B
5453    2579U,	// URHADDvvv_2S
5454    3091U,	// URHADDvvv_4H
5455    1554U,	// URHADDvvv_4S
5456    3603U,	// URHADDvvv_8B
5457    530U,	// URHADDvvv_8H
5458    2U,	// URSHLddd
5459    2067U,	// URSHLvvv_16B
5460    1042U,	// URSHLvvv_2D
5461    2579U,	// URSHLvvv_2S
5462    3091U,	// URSHLvvv_4H
5463    1554U,	// URSHLvvv_4S
5464    3603U,	// URSHLvvv_8B
5465    530U,	// URSHLvvv_8H
5466    2U,	// URSHRddi
5467    3U,	// URSHRvvi_16B
5468    2U,	// URSHRvvi_2D
5469    3U,	// URSHRvvi_2S
5470    3U,	// URSHRvvi_4H
5471    2U,	// URSHRvvi_4S
5472    3U,	// URSHRvvi_8B
5473    2U,	// URSHRvvi_8H
5474    0U,	// URSQRTE2s
5475    1U,	// URSQRTE4s
5476    74U,	// URSRA
5477    75U,	// URSRAvvi_16B
5478    74U,	// URSRAvvi_2D
5479    75U,	// URSRAvvi_2S
5480    75U,	// URSRAvvi_4H
5481    74U,	// URSRAvvi_4S
5482    75U,	// URSRAvvi_8B
5483    74U,	// URSRAvvi_8H
5484    3U,	// USHLLvvi_16B
5485    3U,	// USHLLvvi_2S
5486    3U,	// USHLLvvi_4H
5487    2U,	// USHLLvvi_4S
5488    3U,	// USHLLvvi_8B
5489    2U,	// USHLLvvi_8H
5490    2U,	// USHLddd
5491    2067U,	// USHLvvv_16B
5492    1042U,	// USHLvvv_2D
5493    2579U,	// USHLvvv_2S
5494    3091U,	// USHLvvv_4H
5495    1554U,	// USHLvvv_4S
5496    3603U,	// USHLvvv_8B
5497    530U,	// USHLvvv_8H
5498    2U,	// USHRddi
5499    3U,	// USHRvvi_16B
5500    2U,	// USHRvvi_2D
5501    3U,	// USHRvvi_2S
5502    3U,	// USHRvvi_4H
5503    2U,	// USHRvvi_4S
5504    3U,	// USHRvvi_8B
5505    2U,	// USHRvvi_8H
5506    0U,	// USQADD16b
5507    0U,	// USQADD2d
5508    0U,	// USQADD2s
5509    0U,	// USQADD4h
5510    1U,	// USQADD4s
5511    1U,	// USQADD8b
5512    1U,	// USQADD8h
5513    1U,	// USQADDbb
5514    1U,	// USQADDdd
5515    1U,	// USQADDhh
5516    1U,	// USQADDss
5517    74U,	// USRA
5518    75U,	// USRAvvi_16B
5519    74U,	// USRAvvi_2D
5520    75U,	// USRAvvi_2S
5521    75U,	// USRAvvi_4H
5522    74U,	// USRAvvi_4S
5523    75U,	// USRAvvi_8B
5524    74U,	// USRAvvi_8H
5525    1554U,	// USUBL2vvv_2d4s
5526    530U,	// USUBL2vvv_4s8h
5527    2067U,	// USUBL2vvv_8h16b
5528    2579U,	// USUBLvvv_2d2s
5529    3091U,	// USUBLvvv_4s4h
5530    3603U,	// USUBLvvv_8h8b
5531    1554U,	// USUBW2vvv_2d4s
5532    530U,	// USUBW2vvv_4s8h
5533    2066U,	// USUBW2vvv_8h16b
5534    2578U,	// USUBWvvv_2d2s
5535    3090U,	// USUBWvvv_4s4h
5536    3602U,	// USUBWvvv_8h8b
5537    1U,	// UXTBww
5538    1U,	// UXTBxw
5539    1U,	// UXTHww
5540    1U,	// UXTHxw
5541    2067U,	// UZP1vvv_16b
5542    1042U,	// UZP1vvv_2d
5543    2579U,	// UZP1vvv_2s
5544    3091U,	// UZP1vvv_4h
5545    1554U,	// UZP1vvv_4s
5546    3603U,	// UZP1vvv_8b
5547    530U,	// UZP1vvv_8h
5548    2067U,	// UZP2vvv_16b
5549    1042U,	// UZP2vvv_2d
5550    2579U,	// UZP2vvv_2s
5551    3091U,	// UZP2vvv_4h
5552    1554U,	// UZP2vvv_4s
5553    3603U,	// UZP2vvv_8b
5554    530U,	// UZP2vvv_8h
5555    2U,	// VCVTf2xs_2D
5556    3U,	// VCVTf2xs_2S
5557    2U,	// VCVTf2xs_4S
5558    2U,	// VCVTf2xu_2D
5559    3U,	// VCVTf2xu_2S
5560    2U,	// VCVTf2xu_4S
5561    2U,	// VCVTxs2f_2D
5562    3U,	// VCVTxs2f_2S
5563    2U,	// VCVTxs2f_4S
5564    2U,	// VCVTxu2f_2D
5565    3U,	// VCVTxu2f_2S
5566    2U,	// VCVTxu2f_4S
5567    0U,	// XTN2d2s
5568    0U,	// XTN2d4s
5569    1U,	// XTN4s4h
5570    1U,	// XTN4s8h
5571    1U,	// XTN8h16b
5572    1U,	// XTN8h8b
5573    2067U,	// ZIP1vvv_16b
5574    1042U,	// ZIP1vvv_2d
5575    2579U,	// ZIP1vvv_2s
5576    3091U,	// ZIP1vvv_4h
5577    1554U,	// ZIP1vvv_4s
5578    3603U,	// ZIP1vvv_8b
5579    530U,	// ZIP1vvv_8h
5580    2067U,	// ZIP2vvv_16b
5581    1042U,	// ZIP2vvv_2d
5582    2579U,	// ZIP2vvv_2s
5583    3091U,	// ZIP2vvv_4h
5584    1554U,	// ZIP2vvv_4s
5585    3603U,	// ZIP2vvv_8b
5586    530U,	// ZIP2vvv_8h
5587    0U
5588  };
5589
5590#ifndef CAPSTONE_DIET
5591  static char AsmStrs[] = {
5592  /* 0 */ 's', 'h', 'a', '1', 's', 'u', '0', 9, 0,
5593  /* 9 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '0', 9, 0,
5594  /* 20 */ 'l', 'd', '1', 9, 0,
5595  /* 25 */ 't', 'r', 'n', '1', 9, 0,
5596  /* 31 */ 'z', 'i', 'p', '1', 9, 0,
5597  /* 37 */ 'u', 'z', 'p', '1', 9, 0,
5598  /* 43 */ 'd', 'c', 'p', 's', '1', 9, 0,
5599  /* 50 */ 's', 't', '1', 9, 0,
5600  /* 55 */ 's', 'h', 'a', '1', 's', 'u', '1', 9, 0,
5601  /* 64 */ 's', 'h', 'a', '2', '5', '6', 's', 'u', '1', 9, 0,
5602  /* 75 */ 'r', 'e', 'v', '3', '2', 9, 0,
5603  /* 82 */ 'l', 'd', '2', 9, 0,
5604  /* 87 */ 's', 'h', 'a', '2', '5', '6', 'h', '2', 9, 0,
5605  /* 97 */ 's', 'a', 'b', 'a', 'l', '2', 9, 0,
5606  /* 105 */ 'u', 'a', 'b', 'a', 'l', '2', 9, 0,
5607  /* 113 */ 's', 'q', 'd', 'm', 'l', 'a', 'l', '2', 9, 0,
5608  /* 123 */ 's', 'm', 'l', 'a', 'l', '2', 9, 0,
5609  /* 131 */ 'u', 'm', 'l', 'a', 'l', '2', 9, 0,
5610  /* 139 */ 's', 's', 'u', 'b', 'l', '2', 9, 0,
5611  /* 147 */ 'u', 's', 'u', 'b', 'l', '2', 9, 0,
5612  /* 155 */ 's', 'a', 'b', 'd', 'l', '2', 9, 0,
5613  /* 163 */ 'u', 'a', 'b', 'd', 'l', '2', 9, 0,
5614  /* 171 */ 's', 'a', 'd', 'd', 'l', '2', 9, 0,
5615  /* 179 */ 'u', 'a', 'd', 'd', 'l', '2', 9, 0,
5616  /* 187 */ 's', 's', 'h', 'l', 'l', '2', 9, 0,
5617  /* 195 */ 'u', 's', 'h', 'l', 'l', '2', 9, 0,
5618  /* 203 */ 's', 'q', 'd', 'm', 'u', 'l', 'l', '2', 9, 0,
5619  /* 213 */ 'p', 'm', 'u', 'l', 'l', '2', 9, 0,
5620  /* 221 */ 's', 'm', 'u', 'l', 'l', '2', 9, 0,
5621  /* 229 */ 'u', 'm', 'u', 'l', 'l', '2', 9, 0,
5622  /* 237 */ 's', 'q', 'd', 'm', 'l', 's', 'l', '2', 9, 0,
5623  /* 247 */ 's', 'm', 'l', 's', 'l', '2', 9, 0,
5624  /* 255 */ 'u', 'm', 'l', 's', 'l', '2', 9, 0,
5625  /* 263 */ 'f', 'c', 'v', 't', 'l', '2', 9, 0,
5626  /* 271 */ 'r', 's', 'u', 'b', 'h', 'n', '2', 9, 0,
5627  /* 280 */ 'r', 'a', 'd', 'd', 'h', 'n', '2', 9, 0,
5628  /* 289 */ 's', 'q', 's', 'h', 'r', 'n', '2', 9, 0,
5629  /* 298 */ 'u', 'q', 's', 'h', 'r', 'n', '2', 9, 0,
5630  /* 307 */ 's', 'q', 'r', 's', 'h', 'r', 'n', '2', 9, 0,
5631  /* 317 */ 'u', 'q', 'r', 's', 'h', 'r', 'n', '2', 9, 0,
5632  /* 327 */ 't', 'r', 'n', '2', 9, 0,
5633  /* 333 */ 'f', 'c', 'v', 't', 'n', '2', 9, 0,
5634  /* 341 */ 's', 'q', 'x', 't', 'n', '2', 9, 0,
5635  /* 349 */ 'u', 'q', 'x', 't', 'n', '2', 9, 0,
5636  /* 357 */ 's', 'q', 's', 'h', 'r', 'u', 'n', '2', 9, 0,
5637  /* 367 */ 's', 'q', 'r', 's', 'h', 'r', 'u', 'n', '2', 9, 0,
5638  /* 378 */ 's', 'q', 'x', 't', 'u', 'n', '2', 9, 0,
5639  /* 387 */ 'f', 'c', 'v', 't', 'x', 'n', '2', 9, 0,
5640  /* 396 */ 'z', 'i', 'p', '2', 9, 0,
5641  /* 402 */ 'u', 'z', 'p', '2', 9, 0,
5642  /* 408 */ 'd', 'c', 'p', 's', '2', 9, 0,
5643  /* 415 */ 's', 't', '2', 9, 0,
5644  /* 420 */ 's', 's', 'u', 'b', 'w', '2', 9, 0,
5645  /* 428 */ 'u', 's', 'u', 'b', 'w', '2', 9, 0,
5646  /* 436 */ 's', 'a', 'd', 'd', 'w', '2', 9, 0,
5647  /* 444 */ 'u', 'a', 'd', 'd', 'w', '2', 9, 0,
5648  /* 452 */ 'l', 'd', '3', 9, 0,
5649  /* 457 */ 'd', 'c', 'p', 's', '3', 9, 0,
5650  /* 464 */ 's', 't', '3', 9, 0,
5651  /* 469 */ 'r', 'e', 'v', '6', '4', 9, 0,
5652  /* 476 */ 'l', 'd', '4', 9, 0,
5653  /* 481 */ 's', 't', '4', 9, 0,
5654  /* 486 */ 'r', 'e', 'v', '1', '6', 9, 0,
5655  /* 493 */ 's', 'a', 'b', 'a', 9, 0,
5656  /* 499 */ 'u', 'a', 'b', 'a', 9, 0,
5657  /* 505 */ 'f', 'm', 'l', 'a', 9, 0,
5658  /* 511 */ 's', 'r', 's', 'r', 'a', 9, 0,
5659  /* 518 */ 'u', 'r', 's', 'r', 'a', 9, 0,
5660  /* 525 */ 's', 's', 'r', 'a', 9, 0,
5661  /* 531 */ 'u', 's', 'r', 'a', 9, 0,
5662  /* 537 */ 'f', 'r', 'i', 'n', 't', 'a', 9, 0,
5663  /* 545 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
5664  /* 553 */ 'c', 'r', 'c', '3', '2', 'c', 'b', 9, 0,
5665  /* 562 */ 'd', 'm', 'b', 9, 0,
5666  /* 567 */ 'l', 'd', 'a', 'r', 'b', 9, 0,
5667  /* 574 */ 'l', 'd', 'r', 'b', 9, 0,
5668  /* 580 */ 's', 't', 'l', 'r', 'b', 9, 0,
5669  /* 587 */ 'l', 'd', 't', 'r', 'b', 9, 0,
5670  /* 594 */ 's', 't', 'r', 'b', 9, 0,
5671  /* 600 */ 's', 't', 't', 'r', 'b', 9, 0,
5672  /* 607 */ 'l', 'd', 'u', 'r', 'b', 9, 0,
5673  /* 614 */ 's', 't', 'u', 'r', 'b', 9, 0,
5674  /* 621 */ 'l', 'd', 'a', 'x', 'r', 'b', 9, 0,
5675  /* 629 */ 'l', 'd', 'x', 'r', 'b', 9, 0,
5676  /* 636 */ 's', 't', 'l', 'x', 'r', 'b', 9, 0,
5677  /* 644 */ 's', 't', 'x', 'r', 'b', 9, 0,
5678  /* 651 */ 'd', 's', 'b', 9, 0,
5679  /* 656 */ 'i', 's', 'b', 9, 0,
5680  /* 661 */ 'l', 'd', 'r', 's', 'b', 9, 0,
5681  /* 668 */ 'l', 'd', 't', 'r', 's', 'b', 9, 0,
5682  /* 676 */ 'l', 'd', 'u', 'r', 's', 'b', 9, 0,
5683  /* 684 */ 's', 'x', 't', 'b', 9, 0,
5684  /* 690 */ 'u', 'x', 't', 'b', 9, 0,
5685  /* 696 */ 'f', 's', 'u', 'b', 9, 0,
5686  /* 702 */ 's', 'h', 's', 'u', 'b', 9, 0,
5687  /* 709 */ 'u', 'h', 's', 'u', 'b', 9, 0,
5688  /* 716 */ 'f', 'm', 's', 'u', 'b', 9, 0,
5689  /* 723 */ 'f', 'n', 'm', 's', 'u', 'b', 9, 0,
5690  /* 731 */ 's', 'q', 's', 'u', 'b', 9, 0,
5691  /* 738 */ 'u', 'q', 's', 'u', 'b', 9, 0,
5692  /* 745 */ 's', 'h', 'a', '1', 'c', 9, 0,
5693  /* 752 */ 's', 'b', 'c', 9, 0,
5694  /* 757 */ 'a', 'd', 'c', 9, 0,
5695  /* 762 */ 'b', 'i', 'c', 9, 0,
5696  /* 767 */ 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
5697  /* 775 */ 'a', 'e', 's', 'm', 'c', 9, 0,
5698  /* 782 */ 'c', 's', 'i', 'n', 'c', 9, 0,
5699  /* 789 */ 'h', 'v', 'c', 9, 0,
5700  /* 794 */ 's', 'v', 'c', 9, 0,
5701  /* 799 */ 'f', 'a', 'b', 'd', 9, 0,
5702  /* 805 */ 's', 'a', 'b', 'd', 9, 0,
5703  /* 811 */ 'u', 'a', 'b', 'd', 9, 0,
5704  /* 817 */ 'f', 'a', 'd', 'd', 9, 0,
5705  /* 823 */ 's', 'r', 'h', 'a', 'd', 'd', 9, 0,
5706  /* 831 */ 'u', 'r', 'h', 'a', 'd', 'd', 9, 0,
5707  /* 839 */ 's', 'h', 'a', 'd', 'd', 9, 0,
5708  /* 846 */ 'u', 'h', 'a', 'd', 'd', 9, 0,
5709  /* 853 */ 'f', 'm', 'a', 'd', 'd', 9, 0,
5710  /* 860 */ 'f', 'n', 'm', 'a', 'd', 'd', 9, 0,
5711  /* 868 */ 'u', 's', 'q', 'a', 'd', 'd', 9, 0,
5712  /* 876 */ 's', 'u', 'q', 'a', 'd', 'd', 9, 0,
5713  /* 884 */ 'a', 'n', 'd', 9, 0,
5714  /* 889 */ 'a', 'e', 's', 'd', 9, 0,
5715  /* 895 */ 'f', 'a', 'c', 'g', 'e', 9, 0,
5716  /* 902 */ 'f', 'c', 'm', 'g', 'e', 9, 0,
5717  /* 909 */ 'f', 'c', 'm', 'l', 'e', 9, 0,
5718  /* 916 */ 'f', 'r', 'e', 'c', 'p', 'e', 9, 0,
5719  /* 924 */ 'u', 'r', 'e', 'c', 'p', 'e', 9, 0,
5720  /* 932 */ 'f', 'c', 'c', 'm', 'p', 'e', 9, 0,
5721  /* 940 */ 'f', 'c', 'm', 'p', 'e', 9, 0,
5722  /* 947 */ 'a', 'e', 's', 'e', 9, 0,
5723  /* 953 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
5724  /* 962 */ 'u', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
5725  /* 971 */ 'b', 'i', 'f', 9, 0,
5726  /* 976 */ 's', 'c', 'v', 't', 'f', 9, 0,
5727  /* 983 */ 'u', 'c', 'v', 't', 'f', 9, 0,
5728  /* 990 */ 'f', 'n', 'e', 'g', 9, 0,
5729  /* 996 */ 's', 'q', 'n', 'e', 'g', 9, 0,
5730  /* 1003 */ 'c', 's', 'n', 'e', 'g', 9, 0,
5731  /* 1010 */ 's', 'h', 'a', '1', 'h', 9, 0,
5732  /* 1017 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
5733  /* 1025 */ 's', 'h', 'a', '2', '5', '6', 'h', 9, 0,
5734  /* 1034 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
5735  /* 1043 */ 's', 'q', 'd', 'm', 'u', 'l', 'h', 9, 0,
5736  /* 1052 */ 's', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 9, 0,
5737  /* 1062 */ 's', 'm', 'u', 'l', 'h', 9, 0,
5738  /* 1069 */ 'u', 'm', 'u', 'l', 'h', 9, 0,
5739  /* 1076 */ 'l', 'd', 'a', 'r', 'h', 9, 0,
5740  /* 1083 */ 'l', 'd', 'r', 'h', 9, 0,
5741  /* 1089 */ 's', 't', 'l', 'r', 'h', 9, 0,
5742  /* 1096 */ 'l', 'd', 't', 'r', 'h', 9, 0,
5743  /* 1103 */ 's', 't', 'r', 'h', 9, 0,
5744  /* 1109 */ 's', 't', 't', 'r', 'h', 9, 0,
5745  /* 1116 */ 'l', 'd', 'u', 'r', 'h', 9, 0,
5746  /* 1123 */ 's', 't', 'u', 'r', 'h', 9, 0,
5747  /* 1130 */ 'l', 'd', 'a', 'x', 'r', 'h', 9, 0,
5748  /* 1138 */ 'l', 'd', 'x', 'r', 'h', 9, 0,
5749  /* 1145 */ 's', 't', 'l', 'x', 'r', 'h', 9, 0,
5750  /* 1153 */ 's', 't', 'x', 'r', 'h', 9, 0,
5751  /* 1160 */ 'l', 'd', 'r', 's', 'h', 9, 0,
5752  /* 1167 */ 'l', 'd', 't', 'r', 's', 'h', 9, 0,
5753  /* 1175 */ 'l', 'd', 'u', 'r', 's', 'h', 9, 0,
5754  /* 1183 */ 's', 'x', 't', 'h', 9, 0,
5755  /* 1189 */ 'u', 'x', 't', 'h', 9, 0,
5756  /* 1195 */ 't', 'l', 'b', 'i', 9, 0,
5757  /* 1201 */ 'b', 'f', 'i', 9, 0,
5758  /* 1206 */ 'c', 'm', 'h', 'i', 9, 0,
5759  /* 1212 */ 's', 'l', 'i', 9, 0,
5760  /* 1217 */ 'm', 'v', 'n', 'i', 9, 0,
5761  /* 1223 */ 's', 'r', 'i', 9, 0,
5762  /* 1228 */ 'f', 'r', 'i', 'n', 't', 'i', 9, 0,
5763  /* 1236 */ 'm', 'o', 'v', 'i', 9, 0,
5764  /* 1242 */ 'b', 'r', 'k', 9, 0,
5765  /* 1247 */ 'm', 'o', 'v', 'k', 9, 0,
5766  /* 1253 */ 's', 'a', 'b', 'a', 'l', 9, 0,
5767  /* 1260 */ 'u', 'a', 'b', 'a', 'l', 9, 0,
5768  /* 1267 */ 's', 'q', 'd', 'm', 'l', 'a', 'l', 9, 0,
5769  /* 1276 */ 's', 'm', 'l', 'a', 'l', 9, 0,
5770  /* 1283 */ 'u', 'm', 'l', 'a', 'l', 9, 0,
5771  /* 1290 */ 't', 'b', 'l', 9, 0,
5772  /* 1295 */ 's', 'm', 's', 'u', 'b', 'l', 9, 0,
5773  /* 1303 */ 'u', 'm', 's', 'u', 'b', 'l', 9, 0,
5774  /* 1311 */ 's', 's', 'u', 'b', 'l', 9, 0,
5775  /* 1318 */ 'u', 's', 'u', 'b', 'l', 9, 0,
5776  /* 1325 */ 's', 'a', 'b', 'd', 'l', 9, 0,
5777  /* 1332 */ 'u', 'a', 'b', 'd', 'l', 9, 0,
5778  /* 1339 */ 's', 'm', 'a', 'd', 'd', 'l', 9, 0,
5779  /* 1347 */ 'u', 'm', 'a', 'd', 'd', 'l', 9, 0,
5780  /* 1355 */ 's', 'a', 'd', 'd', 'l', 9, 0,
5781  /* 1362 */ 'u', 'a', 'd', 'd', 'l', 9, 0,
5782  /* 1369 */ 'f', 'c', 's', 'e', 'l', 9, 0,
5783  /* 1376 */ 's', 'q', 's', 'h', 'l', 9, 0,
5784  /* 1383 */ 'u', 'q', 's', 'h', 'l', 9, 0,
5785  /* 1390 */ 's', 'q', 'r', 's', 'h', 'l', 9, 0,
5786  /* 1398 */ 'u', 'q', 'r', 's', 'h', 'l', 9, 0,
5787  /* 1406 */ 's', 'r', 's', 'h', 'l', 9, 0,
5788  /* 1413 */ 'u', 'r', 's', 'h', 'l', 9, 0,
5789  /* 1420 */ 's', 's', 'h', 'l', 9, 0,
5790  /* 1426 */ 'u', 's', 'h', 'l', 9, 0,
5791  /* 1432 */ 'b', 'f', 'x', 'i', 'l', 9, 0,
5792  /* 1439 */ 's', 's', 'h', 'l', 'l', 9, 0,
5793  /* 1446 */ 'u', 's', 'h', 'l', 'l', 9, 0,
5794  /* 1453 */ 's', 'q', 'd', 'm', 'u', 'l', 'l', 9, 0,
5795  /* 1462 */ 'p', 'm', 'u', 'l', 'l', 9, 0,
5796  /* 1469 */ 's', 'm', 'u', 'l', 'l', 9, 0,
5797  /* 1476 */ 'u', 'm', 'u', 'l', 'l', 9, 0,
5798  /* 1483 */ 'b', 's', 'l', 9, 0,
5799  /* 1488 */ 's', 'q', 'd', 'm', 'l', 's', 'l', 9, 0,
5800  /* 1497 */ 's', 'm', 'l', 's', 'l', 9, 0,
5801  /* 1504 */ 'u', 'm', 'l', 's', 'l', 9, 0,
5802  /* 1511 */ 's', 'y', 's', 'l', 9, 0,
5803  /* 1517 */ 'f', 'c', 'v', 't', 'l', 9, 0,
5804  /* 1524 */ 'f', 'm', 'u', 'l', 9, 0,
5805  /* 1530 */ 'f', 'n', 'm', 'u', 'l', 9, 0,
5806  /* 1537 */ 'p', 'm', 'u', 'l', 9, 0,
5807  /* 1543 */ 's', 'h', 'a', '1', 'm', 9, 0,
5808  /* 1550 */ 's', 'b', 'f', 'm', 9, 0,
5809  /* 1556 */ 'u', 'b', 'f', 'm', 9, 0,
5810  /* 1562 */ 'p', 'r', 'f', 'm', 9, 0,
5811  /* 1568 */ 'f', 'm', 'i', 'n', 'n', 'm', 9, 0,
5812  /* 1576 */ 'f', 'm', 'a', 'x', 'n', 'm', 9, 0,
5813  /* 1584 */ 'f', 'r', 'i', 'n', 't', 'm', 9, 0,
5814  /* 1592 */ 'p', 'r', 'f', 'u', 'm', 9, 0,
5815  /* 1599 */ 'r', 's', 'u', 'b', 'h', 'n', 9, 0,
5816  /* 1607 */ 'r', 'a', 'd', 'd', 'h', 'n', 9, 0,
5817  /* 1615 */ 'f', 'm', 'i', 'n', 9, 0,
5818  /* 1621 */ 's', 'm', 'i', 'n', 9, 0,
5819  /* 1627 */ 'u', 'm', 'i', 'n', 9, 0,
5820  /* 1633 */ 'c', 'c', 'm', 'n', 9, 0,
5821  /* 1639 */ 'e', 'o', 'n', 9, 0,
5822  /* 1644 */ 's', 'q', 's', 'h', 'r', 'n', 9, 0,
5823  /* 1652 */ 'u', 'q', 's', 'h', 'r', 'n', 9, 0,
5824  /* 1660 */ 's', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
5825  /* 1669 */ 'u', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
5826  /* 1678 */ 'o', 'r', 'n', 9, 0,
5827  /* 1683 */ 'f', 'r', 'i', 'n', 't', 'n', 9, 0,
5828  /* 1691 */ 'f', 'c', 'v', 't', 'n', 9, 0,
5829  /* 1698 */ 's', 'q', 'x', 't', 'n', 9, 0,
5830  /* 1705 */ 'u', 'q', 'x', 't', 'n', 9, 0,
5831  /* 1712 */ 's', 'q', 's', 'h', 'r', 'u', 'n', 9, 0,
5832  /* 1721 */ 's', 'q', 'r', 's', 'h', 'r', 'u', 'n', 9, 0,
5833  /* 1731 */ 's', 'q', 'x', 't', 'u', 'n', 9, 0,
5834  /* 1739 */ 'm', 'v', 'n', 9, 0,
5835  /* 1744 */ 'm', 'o', 'v', 'n', 9, 0,
5836  /* 1750 */ 'f', 'c', 'v', 't', 'x', 'n', 9, 0,
5837  /* 1758 */ 's', 'h', 'a', '1', 'p', 9, 0,
5838  /* 1765 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
5839  /* 1772 */ 'l', 'd', 'p', 9, 0,
5840  /* 1777 */ 's', 'a', 'd', 'a', 'l', 'p', 9, 0,
5841  /* 1785 */ 'u', 'a', 'd', 'a', 'l', 'p', 9, 0,
5842  /* 1793 */ 's', 'a', 'd', 'd', 'l', 'p', 9, 0,
5843  /* 1801 */ 'u', 'a', 'd', 'd', 'l', 'p', 9, 0,
5844  /* 1809 */ 'f', 'c', 'c', 'm', 'p', 9, 0,
5845  /* 1816 */ 'f', 'c', 'm', 'p', 9, 0,
5846  /* 1822 */ 'f', 'm', 'i', 'n', 'n', 'm', 'p', 9, 0,
5847  /* 1831 */ 'f', 'm', 'a', 'x', 'n', 'm', 'p', 9, 0,
5848  /* 1840 */ 'l', 'd', 'n', 'p', 9, 0,
5849  /* 1846 */ 'f', 'm', 'i', 'n', 'p', 9, 0,
5850  /* 1853 */ 's', 'm', 'i', 'n', 'p', 9, 0,
5851  /* 1860 */ 'u', 'm', 'i', 'n', 'p', 9, 0,
5852  /* 1867 */ 's', 't', 'n', 'p', 9, 0,
5853  /* 1873 */ 'a', 'd', 'r', 'p', 9, 0,
5854  /* 1879 */ 'f', 'r', 'i', 'n', 't', 'p', 9, 0,
5855  /* 1887 */ 's', 't', 'p', 9, 0,
5856  /* 1892 */ 'd', 'u', 'p', 9, 0,
5857  /* 1897 */ 'l', 'd', 'a', 'x', 'p', 9, 0,
5858  /* 1904 */ 'f', 'm', 'a', 'x', 'p', 9, 0,
5859  /* 1911 */ 's', 'm', 'a', 'x', 'p', 9, 0,
5860  /* 1918 */ 'u', 'm', 'a', 'x', 'p', 9, 0,
5861  /* 1925 */ 'l', 'd', 'x', 'p', 9, 0,
5862  /* 1931 */ 's', 't', 'l', 'x', 'p', 9, 0,
5863  /* 1938 */ 's', 't', 'x', 'p', 9, 0,
5864  /* 1944 */ 'f', 'c', 'm', 'e', 'q', 9, 0,
5865  /* 1951 */ 'l', 'd', '1', 'r', 9, 0,
5866  /* 1957 */ 'l', 'd', '2', 'r', 9, 0,
5867  /* 1963 */ 'l', 'd', '3', 'r', 9, 0,
5868  /* 1969 */ 'l', 'd', '4', 'r', 9, 0,
5869  /* 1975 */ 'l', 'd', 'a', 'r', 9, 0,
5870  /* 1981 */ 'b', 'r', 9, 0,
5871  /* 1985 */ 'a', 'd', 'r', 9, 0,
5872  /* 1990 */ 'l', 'd', 'r', 9, 0,
5873  /* 1995 */ 's', 'r', 's', 'h', 'r', 9, 0,
5874  /* 2002 */ 'u', 'r', 's', 'h', 'r', 9, 0,
5875  /* 2009 */ 's', 's', 'h', 'r', 9, 0,
5876  /* 2015 */ 'u', 's', 'h', 'r', 9, 0,
5877  /* 2021 */ 'b', 'l', 'r', 9, 0,
5878  /* 2026 */ 's', 't', 'l', 'r', 9, 0,
5879  /* 2032 */ 'e', 'o', 'r', 9, 0,
5880  /* 2037 */ 'r', 'o', 'r', 9, 0,
5881  /* 2042 */ 'o', 'r', 'r', 9, 0,
5882  /* 2047 */ 'a', 's', 'r', 9, 0,
5883  /* 2052 */ 'l', 's', 'r', 9, 0,
5884  /* 2057 */ 'm', 's', 'r', 9, 0,
5885  /* 2062 */ 'l', 'd', 't', 'r', 9, 0,
5886  /* 2068 */ 's', 't', 'r', 9, 0,
5887  /* 2073 */ 's', 't', 't', 'r', 9, 0,
5888  /* 2079 */ 'e', 'x', 't', 'r', 9, 0,
5889  /* 2085 */ 'l', 'd', 'u', 'r', 9, 0,
5890  /* 2091 */ 's', 't', 'u', 'r', 9, 0,
5891  /* 2097 */ 'l', 'd', 'a', 'x', 'r', 9, 0,
5892  /* 2104 */ 'l', 'd', 'x', 'r', 9, 0,
5893  /* 2110 */ 's', 't', 'l', 'x', 'r', 9, 0,
5894  /* 2117 */ 's', 't', 'x', 'r', 9, 0,
5895  /* 2123 */ 'f', 'c', 'v', 't', 'a', 's', 9, 0,
5896  /* 2131 */ 'f', 'a', 'b', 's', 9, 0,
5897  /* 2137 */ 's', 'q', 'a', 'b', 's', 9, 0,
5898  /* 2144 */ 's', 'u', 'b', 's', 9, 0,
5899  /* 2150 */ 's', 'b', 'c', 's', 9, 0,
5900  /* 2156 */ 'a', 'd', 'c', 's', 9, 0,
5901  /* 2162 */ 'b', 'i', 'c', 's', 9, 0,
5902  /* 2168 */ 'a', 'd', 'd', 's', 9, 0,
5903  /* 2174 */ 'a', 'n', 'd', 's', 9, 0,
5904  /* 2180 */ 'c', 'm', 'h', 's', 9, 0,
5905  /* 2186 */ 'c', 'l', 's', 9, 0,
5906  /* 2191 */ 'f', 'm', 'l', 's', 9, 0,
5907  /* 2197 */ 'f', 'c', 'v', 't', 'm', 's', 9, 0,
5908  /* 2205 */ 'i', 'n', 's', 9, 0,
5909  /* 2210 */ 'f', 'c', 'v', 't', 'n', 's', 9, 0,
5910  /* 2218 */ 'f', 'r', 'e', 'c', 'p', 's', 9, 0,
5911  /* 2226 */ 'f', 'c', 'v', 't', 'p', 's', 9, 0,
5912  /* 2234 */ 'm', 'r', 's', 9, 0,
5913  /* 2239 */ 'f', 'r', 's', 'q', 'r', 't', 's', 9, 0,
5914  /* 2248 */ 's', 'y', 's', 9, 0,
5915  /* 2253 */ 'f', 'c', 'v', 't', 'z', 's', 9, 0,
5916  /* 2261 */ 'a', 't', 9, 0,
5917  /* 2265 */ 'r', 'e', 't', 9, 0,
5918  /* 2270 */ 'f', 'a', 'c', 'g', 't', 9, 0,
5919  /* 2277 */ 'f', 'c', 'm', 'g', 't', 9, 0,
5920  /* 2284 */ 'r', 'b', 'i', 't', 9, 0,
5921  /* 2290 */ 'h', 'l', 't', 9, 0,
5922  /* 2295 */ 'f', 'c', 'm', 'l', 't', 9, 0,
5923  /* 2302 */ 'c', 'n', 't', 9, 0,
5924  /* 2307 */ 'h', 'i', 'n', 't', 9, 0,
5925  /* 2313 */ 'n', 'o', 't', 9, 0,
5926  /* 2318 */ 'f', 's', 'q', 'r', 't', 9, 0,
5927  /* 2325 */ 'c', 'm', 't', 's', 't', 9, 0,
5928  /* 2332 */ 'f', 'c', 'v', 't', 9, 0,
5929  /* 2338 */ 'e', 'x', 't', 9, 0,
5930  /* 2343 */ 'f', 'c', 'v', 't', 'a', 'u', 9, 0,
5931  /* 2351 */ 's', 'q', 's', 'h', 'l', 'u', 9, 0,
5932  /* 2359 */ 'f', 'c', 'v', 't', 'm', 'u', 9, 0,
5933  /* 2367 */ 'f', 'c', 'v', 't', 'n', 'u', 9, 0,
5934  /* 2375 */ 'f', 'c', 'v', 't', 'p', 'u', 9, 0,
5935  /* 2383 */ 'f', 'c', 'v', 't', 'z', 'u', 9, 0,
5936  /* 2391 */ 'a', 'd', 'd', 'v', 9, 0,
5937  /* 2397 */ 'r', 'e', 'v', 9, 0,
5938  /* 2402 */ 'f', 'd', 'i', 'v', 9, 0,
5939  /* 2408 */ 's', 'd', 'i', 'v', 9, 0,
5940  /* 2414 */ 'u', 'd', 'i', 'v', 9, 0,
5941  /* 2420 */ 's', 'a', 'd', 'd', 'l', 'v', 9, 0,
5942  /* 2428 */ 'u', 'a', 'd', 'd', 'l', 'v', 9, 0,
5943  /* 2436 */ 'f', 'm', 'i', 'n', 'n', 'm', 'v', 9, 0,
5944  /* 2445 */ 'f', 'm', 'a', 'x', 'n', 'm', 'v', 9, 0,
5945  /* 2454 */ 'f', 'm', 'i', 'n', 'v', 9, 0,
5946  /* 2461 */ 's', 'm', 'i', 'n', 'v', 9, 0,
5947  /* 2468 */ 'u', 'm', 'i', 'n', 'v', 9, 0,
5948  /* 2475 */ 'c', 's', 'i', 'n', 'v', 9, 0,
5949  /* 2482 */ 'f', 'm', 'o', 'v', 9, 0,
5950  /* 2488 */ 's', 'm', 'o', 'v', 9, 0,
5951  /* 2494 */ 'u', 'm', 'o', 'v', 9, 0,
5952  /* 2500 */ 'f', 'm', 'a', 'x', 'v', 9, 0,
5953  /* 2507 */ 's', 'm', 'a', 'x', 'v', 9, 0,
5954  /* 2514 */ 'u', 'm', 'a', 'x', 'v', 9, 0,
5955  /* 2521 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
5956  /* 2529 */ 's', 's', 'u', 'b', 'w', 9, 0,
5957  /* 2536 */ 'u', 's', 'u', 'b', 'w', 9, 0,
5958  /* 2543 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
5959  /* 2552 */ 's', 'a', 'd', 'd', 'w', 9, 0,
5960  /* 2559 */ 'u', 'a', 'd', 'd', 'w', 9, 0,
5961  /* 2566 */ 'l', 'd', 'p', 's', 'w', 9, 0,
5962  /* 2573 */ 'l', 'd', 'r', 's', 'w', 9, 0,
5963  /* 2580 */ 'l', 'd', 't', 'r', 's', 'w', 9, 0,
5964  /* 2588 */ 'l', 'd', 'u', 'r', 's', 'w', 9, 0,
5965  /* 2596 */ 's', 'x', 't', 'w', 9, 0,
5966  /* 2602 */ 'c', 'r', 'c', '3', '2', 'x', 9, 0,
5967  /* 2610 */ 'f', 'm', 'a', 'x', 9, 0,
5968  /* 2616 */ 's', 'm', 'a', 'x', 9, 0,
5969  /* 2622 */ 'u', 'm', 'a', 'x', 9, 0,
5970  /* 2628 */ 't', 'b', 'x', 9, 0,
5971  /* 2633 */ 'c', 'r', 'c', '3', '2', 'c', 'x', 9, 0,
5972  /* 2642 */ 'c', 'l', 'r', 'e', 'x', 9, 0,
5973  /* 2649 */ 's', 'b', 'f', 'x', 9, 0,
5974  /* 2655 */ 'u', 'b', 'f', 'x', 9, 0,
5975  /* 2661 */ 'f', 'm', 'u', 'l', 'x', 9, 0,
5976  /* 2668 */ 'f', 'r', 'e', 'c', 'p', 'x', 9, 0,
5977  /* 2676 */ 'f', 'r', 'i', 'n', 't', 'x', 9, 0,
5978  /* 2684 */ 'c', 'b', 'z', 9, 0,
5979  /* 2689 */ 't', 'b', 'z', 9, 0,
5980  /* 2694 */ 's', 'b', 'f', 'i', 'z', 9, 0,
5981  /* 2701 */ 'u', 'b', 'f', 'i', 'z', 9, 0,
5982  /* 2708 */ 'c', 'l', 'z', 9, 0,
5983  /* 2713 */ 'c', 'b', 'n', 'z', 9, 0,
5984  /* 2719 */ 't', 'b', 'n', 'z', 9, 0,
5985  /* 2725 */ 'f', 'r', 'i', 'n', 't', 'z', 9, 0,
5986  /* 2733 */ 'm', 'o', 'v', 'z', 9, 0,
5987  /* 2739 */ 'm', 'o', 'v', 'i', 9, 32, 0,
5988  /* 2746 */ 'c', 'm', 'n', 32, 0,
5989  /* 2751 */ 'c', 'm', 'p', 32, 0,
5990  /* 2756 */ 'b', '.', 0,
5991  /* 2759 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
5992  /* 2772 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
5993  /* 2779 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
5994  /* 2789 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
5995  /* 2804 */ 'd', 'r', 'p', 's', 0,
5996  /* 2809 */ 'e', 'r', 'e', 't', 0,
5997  };
5998#endif
5999
6000  // Emit the opcode for the instruction.
6001  uint64_t Bits1 = OpInfo[MCInst_getOpcode(MI)];
6002  uint64_t Bits2 = OpInfo2[MCInst_getOpcode(MI)];
6003  uint64_t Bits = (Bits2 << 32) | Bits1;
6004  // assert(Bits != 0 && "Cannot print this instruction.");
6005#ifndef CAPSTONE_DIET
6006  SStream_concat(O, "%s", AsmStrs+(Bits & 4095)-1);
6007#endif
6008
6009
6010  // Fragment 0 encoded into 8 bits for 159 unique commands.
6011  //printf("Frag-0: %"PRIu64"\n", (Bits >> 12) & 255);
6012  switch ((Bits >> 12) & 255) {
6013  default:   // unreachable.
6014  case 0:
6015    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, DRPS, ERET
6016    return;
6017    break;
6018  case 1:
6019    // ABS16b, ABS2d, ABS2s, ABS4h, ABS4s, ABS8b, ABS8h, ADDHN2vvv_16b8h, ADD...
6020    printVPRRegister(MI, 0, O);
6021    break;
6022  case 2:
6023    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDPvv_D_2D, ADDSwww_asr, ADD...
6024    printOperand(MI, 0, O);
6025    break;
6026  case 3:
6027    // ATix
6028    printNamedImmOperand(MI, 0, O, &A64AT_ATMapper);
6029    SStream_concat(O, ", ");
6030    printOperand(MI, 1, O);
6031    return;
6032    break;
6033  case 4:
6034    // BLimm, Bimm
6035    printLabelOperand(MI, 0, O, 26, 4);
6036    return;
6037    break;
6038  case 5:
6039    // Bcc
6040    printCondCodeOperand(MI, 0, O);
6041    SStream_concat(O, " ");
6042    printLabelOperand(MI, 1, O, 19, 4);
6043    return;
6044    break;
6045  case 6:
6046    // DCix
6047    printNamedImmOperand(MI, 0, O, &A64DC_DCMapper);
6048    SStream_concat(O, ", ");
6049    printOperand(MI, 1, O);
6050    return;
6051    break;
6052  case 7:
6053    // DMBi, DSBi
6054    printNamedImmOperand(MI, 0, O, &A64DB_DBarrierMapper);
6055    return;
6056    break;
6057  case 8:
6058    // ICi, ICix
6059    printNamedImmOperand(MI, 0, O, &A64IC_ICMapper);
6060    break;
6061  case 9:
6062    // ISBi
6063    printNamedImmOperand(MI, 0, O, &A64ISB_ISBMapper);
6064    return;
6065    break;
6066  case 10:
6067    // LD1LN_B, LD1LN_WB_B_fixed, LD1LN_WB_B_register
6068    printVectorList(MI, 0, O, A64Layout_VL_B, 1, MRI);
6069    SStream_concat(O, "[");
6070    set_mem_access(MI, true);
6071    break;
6072  case 11:
6073    // LD1LN_D, LD1LN_WB_D_fixed, LD1LN_WB_D_register
6074    printVectorList(MI, 0, O, A64Layout_VL_D, 1, MRI);
6075    SStream_concat(O, "[");
6076    set_mem_access(MI, true);
6077    break;
6078  case 12:
6079    // LD1LN_H, LD1LN_WB_H_fixed, LD1LN_WB_H_register
6080    printVectorList(MI, 0, O, A64Layout_VL_H, 1, MRI);
6081    SStream_concat(O, "[");
6082    set_mem_access(MI, true);
6083    break;
6084  case 13:
6085    // LD1LN_S, LD1LN_WB_S_fixed, LD1LN_WB_S_register
6086    printVectorList(MI, 0, O, A64Layout_VL_S, 1, MRI);
6087    SStream_concat(O, "[");
6088    set_mem_access(MI, true);
6089    break;
6090  case 14:
6091    // LD1R_16B, LD1R_WB_16B_fixed, LD1R_WB_16B_register, LD1WB_16B_fixed, LD...
6092    printVectorList(MI, 0, O, A64Layout_VL_16B, 1, MRI);
6093    SStream_concat(O, ", [");
6094    set_mem_access(MI, true);
6095    break;
6096  case 15:
6097    // LD1R_1D, LD1R_WB_1D_fixed, LD1R_WB_1D_register, LD1WB_1D_fixed, LD1WB_...
6098    printVectorList(MI, 0, O, A64Layout_VL_1D, 1, MRI);
6099    SStream_concat(O, ", [");
6100    set_mem_access(MI, true);
6101    break;
6102  case 16:
6103    // LD1R_2D, LD1R_WB_2D_fixed, LD1R_WB_2D_register, LD1WB_2D_fixed, LD1WB_...
6104    printVectorList(MI, 0, O, A64Layout_VL_2D, 1, MRI);
6105    SStream_concat(O, ", [");
6106    set_mem_access(MI, true);
6107    break;
6108  case 17:
6109    // LD1R_2S, LD1R_WB_2S_fixed, LD1R_WB_2S_register, LD1WB_2S_fixed, LD1WB_...
6110    printVectorList(MI, 0, O, A64Layout_VL_2S, 1, MRI);
6111    SStream_concat(O, ", [");
6112    set_mem_access(MI, true);
6113    break;
6114  case 18:
6115    // LD1R_4H, LD1R_WB_4H_fixed, LD1R_WB_4H_register, LD1WB_4H_fixed, LD1WB_...
6116    printVectorList(MI, 0, O, A64Layout_VL_4H, 1, MRI);
6117    SStream_concat(O, ", [");
6118    set_mem_access(MI, true);
6119    break;
6120  case 19:
6121    // LD1R_4S, LD1R_WB_4S_fixed, LD1R_WB_4S_register, LD1WB_4S_fixed, LD1WB_...
6122    printVectorList(MI, 0, O, A64Layout_VL_4S, 1, MRI);
6123    SStream_concat(O, ", [");
6124    set_mem_access(MI, true);
6125    break;
6126  case 20:
6127    // LD1R_8B, LD1R_WB_8B_fixed, LD1R_WB_8B_register, LD1WB_8B_fixed, LD1WB_...
6128    printVectorList(MI, 0, O, A64Layout_VL_8B, 1, MRI);
6129    SStream_concat(O, ", [");
6130    set_mem_access(MI, true);
6131    break;
6132  case 21:
6133    // LD1R_8H, LD1R_WB_8H_fixed, LD1R_WB_8H_register, LD1WB_8H_fixed, LD1WB_...
6134    printVectorList(MI, 0, O, A64Layout_VL_8H, 1, MRI);
6135    SStream_concat(O, ", [");
6136    set_mem_access(MI, true);
6137    break;
6138  case 22:
6139    // LD1x2WB_16B_fixed, LD1x2WB_16B_register, LD1x2_16B, LD2R_16B, LD2R_WB_...
6140    printVectorList(MI, 0, O, A64Layout_VL_16B, 2, MRI);
6141    SStream_concat(O, ", [");
6142    set_mem_access(MI, true);
6143    break;
6144  case 23:
6145    // LD1x2WB_1D_fixed, LD1x2WB_1D_register, LD1x2_1D, LD2R_1D, LD2R_WB_1D_f...
6146    printVectorList(MI, 0, O, A64Layout_VL_1D, 2, MRI);
6147    SStream_concat(O, ", [");
6148    set_mem_access(MI, true);
6149    break;
6150  case 24:
6151    // LD1x2WB_2D_fixed, LD1x2WB_2D_register, LD1x2_2D, LD2R_2D, LD2R_WB_2D_f...
6152    printVectorList(MI, 0, O, A64Layout_VL_2D, 2, MRI);
6153    SStream_concat(O, ", [");
6154    set_mem_access(MI, true);
6155    break;
6156  case 25:
6157    // LD1x2WB_2S_fixed, LD1x2WB_2S_register, LD1x2_2S, LD2R_2S, LD2R_WB_2S_f...
6158    printVectorList(MI, 0, O, A64Layout_VL_2S, 2, MRI);
6159    SStream_concat(O, ", [");
6160    set_mem_access(MI, true);
6161    break;
6162  case 26:
6163    // LD1x2WB_4H_fixed, LD1x2WB_4H_register, LD1x2_4H, LD2R_4H, LD2R_WB_4H_f...
6164    printVectorList(MI, 0, O, A64Layout_VL_4H, 2, MRI);
6165    SStream_concat(O, ", [");
6166    set_mem_access(MI, true);
6167    break;
6168  case 27:
6169    // LD1x2WB_4S_fixed, LD1x2WB_4S_register, LD1x2_4S, LD2R_4S, LD2R_WB_4S_f...
6170    printVectorList(MI, 0, O, A64Layout_VL_4S, 2, MRI);
6171    SStream_concat(O, ", [");
6172    set_mem_access(MI, true);
6173    break;
6174  case 28:
6175    // LD1x2WB_8B_fixed, LD1x2WB_8B_register, LD1x2_8B, LD2R_8B, LD2R_WB_8B_f...
6176    printVectorList(MI, 0, O, A64Layout_VL_8B, 2, MRI);
6177    SStream_concat(O, ", [");
6178    set_mem_access(MI, true);
6179    break;
6180  case 29:
6181    // LD1x2WB_8H_fixed, LD1x2WB_8H_register, LD1x2_8H, LD2R_8H, LD2R_WB_8H_f...
6182    printVectorList(MI, 0, O, A64Layout_VL_8H, 2, MRI);
6183    SStream_concat(O, ", [");
6184    set_mem_access(MI, true);
6185    break;
6186  case 30:
6187    // LD1x3WB_16B_fixed, LD1x3WB_16B_register, LD1x3_16B, LD3R_16B, LD3R_WB_...
6188    printVectorList(MI, 0, O, A64Layout_VL_16B, 3, MRI);
6189    SStream_concat(O, ", [");
6190    set_mem_access(MI, true);
6191    break;
6192  case 31:
6193    // LD1x3WB_1D_fixed, LD1x3WB_1D_register, LD1x3_1D, LD3R_1D, LD3R_WB_1D_f...
6194    printVectorList(MI, 0, O, A64Layout_VL_1D, 3, MRI);
6195    SStream_concat(O, ", [");
6196    set_mem_access(MI, true);
6197    break;
6198  case 32:
6199    // LD1x3WB_2D_fixed, LD1x3WB_2D_register, LD1x3_2D, LD3R_2D, LD3R_WB_2D_f...
6200    printVectorList(MI, 0, O, A64Layout_VL_2D, 3, MRI);
6201    SStream_concat(O, ", [");
6202    set_mem_access(MI, true);
6203    break;
6204  case 33:
6205    // LD1x3WB_2S_fixed, LD1x3WB_2S_register, LD1x3_2S, LD3R_2S, LD3R_WB_2S_f...
6206    printVectorList(MI, 0, O, A64Layout_VL_2S, 3, MRI);
6207    SStream_concat(O, ", [");
6208    set_mem_access(MI, true);
6209    break;
6210  case 34:
6211    // LD1x3WB_4H_fixed, LD1x3WB_4H_register, LD1x3_4H, LD3R_4H, LD3R_WB_4H_f...
6212    printVectorList(MI, 0, O, A64Layout_VL_4H, 3, MRI);
6213    SStream_concat(O, ", [");
6214    set_mem_access(MI, true);
6215    break;
6216  case 35:
6217    // LD1x3WB_4S_fixed, LD1x3WB_4S_register, LD1x3_4S, LD3R_4S, LD3R_WB_4S_f...
6218    printVectorList(MI, 0, O, A64Layout_VL_4S, 3, MRI);
6219    SStream_concat(O, ", [");
6220    set_mem_access(MI, true);
6221    break;
6222  case 36:
6223    // LD1x3WB_8B_fixed, LD1x3WB_8B_register, LD1x3_8B, LD3R_8B, LD3R_WB_8B_f...
6224    printVectorList(MI, 0, O, A64Layout_VL_8B, 3, MRI);
6225    SStream_concat(O, ", [");
6226    set_mem_access(MI, true);
6227    break;
6228  case 37:
6229    // LD1x3WB_8H_fixed, LD1x3WB_8H_register, LD1x3_8H, LD3R_8H, LD3R_WB_8H_f...
6230    printVectorList(MI, 0, O, A64Layout_VL_8H, 3, MRI);
6231    SStream_concat(O, ", [");
6232    set_mem_access(MI, true);
6233    break;
6234  case 38:
6235    // LD1x4WB_16B_fixed, LD1x4WB_16B_register, LD1x4_16B, LD4R_16B, LD4R_WB_...
6236    printVectorList(MI, 0, O, A64Layout_VL_16B, 4, MRI);
6237    SStream_concat(O, ", [");
6238    set_mem_access(MI, true);
6239    break;
6240  case 39:
6241    // LD1x4WB_1D_fixed, LD1x4WB_1D_register, LD1x4_1D, LD4R_1D, LD4R_WB_1D_f...
6242    printVectorList(MI, 0, O, A64Layout_VL_1D, 4, MRI);
6243    SStream_concat(O, ", [");
6244    set_mem_access(MI, true);
6245    break;
6246  case 40:
6247    // LD1x4WB_2D_fixed, LD1x4WB_2D_register, LD1x4_2D, LD4R_2D, LD4R_WB_2D_f...
6248    printVectorList(MI, 0, O, A64Layout_VL_2D, 4, MRI);
6249    SStream_concat(O, ", [");
6250    set_mem_access(MI, true);
6251    break;
6252  case 41:
6253    // LD1x4WB_2S_fixed, LD1x4WB_2S_register, LD1x4_2S, LD4R_2S, LD4R_WB_2S_f...
6254    printVectorList(MI, 0, O, A64Layout_VL_2S, 4, MRI);
6255    SStream_concat(O, ", [");
6256    set_mem_access(MI, true);
6257    break;
6258  case 42:
6259    // LD1x4WB_4H_fixed, LD1x4WB_4H_register, LD1x4_4H, LD4R_4H, LD4R_WB_4H_f...
6260    printVectorList(MI, 0, O, A64Layout_VL_4H, 4, MRI);
6261    SStream_concat(O, ", [");
6262    set_mem_access(MI, true);
6263    break;
6264  case 43:
6265    // LD1x4WB_4S_fixed, LD1x4WB_4S_register, LD1x4_4S, LD4R_4S, LD4R_WB_4S_f...
6266    printVectorList(MI, 0, O, A64Layout_VL_4S, 4, MRI);
6267    SStream_concat(O, ", [");
6268    set_mem_access(MI, true);
6269    break;
6270  case 44:
6271    // LD1x4WB_8B_fixed, LD1x4WB_8B_register, LD1x4_8B, LD4R_8B, LD4R_WB_8B_f...
6272    printVectorList(MI, 0, O, A64Layout_VL_8B, 4, MRI);
6273    SStream_concat(O, ", [");
6274    set_mem_access(MI, true);
6275    break;
6276  case 45:
6277    // LD1x4WB_8H_fixed, LD1x4WB_8H_register, LD1x4_8H, LD4R_8H, LD4R_WB_8H_f...
6278    printVectorList(MI, 0, O, A64Layout_VL_8H, 4, MRI);
6279    SStream_concat(O, ", [");
6280    set_mem_access(MI, true);
6281    break;
6282  case 46:
6283    // LD2LN_B, LD2LN_WB_B_fixed, LD2LN_WB_B_register
6284    printVectorList(MI, 0, O, A64Layout_VL_B, 2, MRI);
6285    SStream_concat(O, "[");
6286    set_mem_access(MI, true);
6287    break;
6288  case 47:
6289    // LD2LN_D, LD2LN_WB_D_fixed, LD2LN_WB_D_register
6290    printVectorList(MI, 0, O, A64Layout_VL_D, 2, MRI);
6291    SStream_concat(O, "[");
6292    set_mem_access(MI, true);
6293    break;
6294  case 48:
6295    // LD2LN_H, LD2LN_WB_H_fixed, LD2LN_WB_H_register
6296    printVectorList(MI, 0, O, A64Layout_VL_H, 2, MRI);
6297    SStream_concat(O, "[");
6298    set_mem_access(MI, true);
6299    break;
6300  case 49:
6301    // LD2LN_S, LD2LN_WB_S_fixed, LD2LN_WB_S_register
6302    printVectorList(MI, 0, O, A64Layout_VL_S, 2, MRI);
6303    SStream_concat(O, "[");
6304    set_mem_access(MI, true);
6305    break;
6306  case 50:
6307    // LD3LN_B, LD3LN_WB_B_fixed, LD3LN_WB_B_register
6308    printVectorList(MI, 0, O, A64Layout_VL_B, 3, MRI);
6309    SStream_concat(O, "[");
6310    set_mem_access(MI, true);
6311    break;
6312  case 51:
6313    // LD3LN_D, LD3LN_WB_D_fixed, LD3LN_WB_D_register
6314    printVectorList(MI, 0, O, A64Layout_VL_D, 3, MRI);
6315    SStream_concat(O, "[");
6316    set_mem_access(MI, true);
6317    break;
6318  case 52:
6319    // LD3LN_H, LD3LN_WB_H_fixed, LD3LN_WB_H_register
6320    printVectorList(MI, 0, O, A64Layout_VL_H, 3, MRI);
6321    SStream_concat(O, "[");
6322    set_mem_access(MI, true);
6323    break;
6324  case 53:
6325    // LD3LN_S, LD3LN_WB_S_fixed, LD3LN_WB_S_register
6326    printVectorList(MI, 0, O, A64Layout_VL_S, 3, MRI);
6327    SStream_concat(O, "[");
6328    set_mem_access(MI, true);
6329    break;
6330  case 54:
6331    // LD4LN_B, LD4LN_WB_B_fixed, LD4LN_WB_B_register
6332    printVectorList(MI, 0, O, A64Layout_VL_B, 4, MRI);
6333    SStream_concat(O, "[");
6334    set_mem_access(MI, true);
6335    break;
6336  case 55:
6337    // LD4LN_D, LD4LN_WB_D_fixed, LD4LN_WB_D_register
6338    printVectorList(MI, 0, O, A64Layout_VL_D, 4, MRI);
6339    SStream_concat(O, "[");
6340    set_mem_access(MI, true);
6341    break;
6342  case 56:
6343    // LD4LN_H, LD4LN_WB_H_fixed, LD4LN_WB_H_register
6344    printVectorList(MI, 0, O, A64Layout_VL_H, 4, MRI);
6345    SStream_concat(O, "[");
6346    set_mem_access(MI, true);
6347    break;
6348  case 57:
6349    // LD4LN_S, LD4LN_WB_S_fixed, LD4LN_WB_S_register
6350    printVectorList(MI, 0, O, A64Layout_VL_S, 4, MRI);
6351    SStream_concat(O, "[");
6352    set_mem_access(MI, true);
6353    break;
6354  case 58:
6355    // LS16_PostInd_STR, LS16_PreInd_STR, LS32_PostInd_STR, LS32_PreInd_STR, ...
6356    printOperand(MI, 1, O);
6357    break;
6358  case 59:
6359    // MSRii
6360    printNamedImmOperand(MI, 0, O, &A64PState_PStateMapper);
6361    SStream_concat(O, ", ");
6362    printOperand(MI, 1, O);
6363    return;
6364    break;
6365  case 60:
6366    // MSRix
6367    printMSROperand(MI, 0, O);
6368    SStream_concat(O, ", ");
6369    printOperand(MI, 1, O);
6370    return;
6371    break;
6372  case 61:
6373    // PRFM, PRFM_Wm_RegOffset, PRFM_Xm_RegOffset, PRFM_lit, PRFUM
6374    printNamedImmOperand(MI, 0, O, &A64PRFM_PRFMMapper);
6375    break;
6376  case 62:
6377    // ST1LN_B
6378    printVectorList(MI, 1, O, A64Layout_VL_B, 1, MRI);
6379    SStream_concat(O, "[");
6380    set_mem_access(MI, true);
6381    printUImmBareOperand(MI, 2, O);
6382    set_mem_access(MI, false);
6383    SStream_concat(O, "], [");
6384    set_mem_access(MI, true);
6385    printOperand(MI, 0, O);
6386    SStream_concat(O, "]");
6387    set_mem_access(MI, false);
6388    return;
6389    break;
6390  case 63:
6391    // ST1LN_D
6392    printVectorList(MI, 1, O, A64Layout_VL_D, 1, MRI);
6393    SStream_concat(O, "[");
6394    set_mem_access(MI, true);
6395    printUImmBareOperand(MI, 2, O);
6396    set_mem_access(MI, false);
6397    SStream_concat(O, "], [");
6398    set_mem_access(MI, true);
6399    printOperand(MI, 0, O);
6400    SStream_concat(O, "]");
6401    set_mem_access(MI, false);
6402    return;
6403    break;
6404  case 64:
6405    // ST1LN_H
6406    printVectorList(MI, 1, O, A64Layout_VL_H, 1, MRI);
6407    SStream_concat(O, "[");
6408    set_mem_access(MI, true);
6409    printUImmBareOperand(MI, 2, O);
6410    set_mem_access(MI, false);
6411    SStream_concat(O, "], [");
6412    set_mem_access(MI, true);
6413    printOperand(MI, 0, O);
6414    SStream_concat(O, "]");
6415    set_mem_access(MI, false);
6416    return;
6417    break;
6418  case 65:
6419    // ST1LN_S
6420    printVectorList(MI, 1, O, A64Layout_VL_S, 1, MRI);
6421    SStream_concat(O, "[");
6422    set_mem_access(MI, true);
6423    printUImmBareOperand(MI, 2, O);
6424    set_mem_access(MI, false);
6425    SStream_concat(O, "], [");
6426    set_mem_access(MI, true);
6427    printOperand(MI, 0, O);
6428    SStream_concat(O, "]");
6429    set_mem_access(MI, false);
6430    return;
6431    break;
6432  case 66:
6433    // ST1LN_WB_B_fixed, ST1LN_WB_B_register
6434    printVectorList(MI, 3, O, A64Layout_VL_B, 1, MRI);
6435    SStream_concat(O, "[");
6436    set_mem_access(MI, true);
6437    printUImmBareOperand(MI, 4, O);
6438    set_mem_access(MI, false);
6439    SStream_concat(O, "], [");
6440    set_mem_access(MI, true);
6441    printOperand(MI, 1, O);
6442    SStream_concat(O, "], ");
6443    set_mem_access(MI, false);
6444    printOperand(MI, 2, O);
6445    return;
6446    break;
6447  case 67:
6448    // ST1LN_WB_D_fixed, ST1LN_WB_D_register
6449    printVectorList(MI, 3, O, A64Layout_VL_D, 1, MRI);
6450    SStream_concat(O, "[");
6451    set_mem_access(MI, true);
6452    printUImmBareOperand(MI, 4, O);
6453    set_mem_access(MI, false);
6454    SStream_concat(O, "], [");
6455    set_mem_access(MI, true);
6456    printOperand(MI, 1, O);
6457    SStream_concat(O, "], ");
6458    set_mem_access(MI, false);
6459    printOperand(MI, 2, O);
6460    return;
6461    break;
6462  case 68:
6463    // ST1LN_WB_H_fixed, ST1LN_WB_H_register
6464    printVectorList(MI, 3, O, A64Layout_VL_H, 1, MRI);
6465    SStream_concat(O, "[");
6466    set_mem_access(MI, true);
6467    printUImmBareOperand(MI, 4, O);
6468    set_mem_access(MI, false);
6469    SStream_concat(O, "], [");
6470    set_mem_access(MI, true);
6471    printOperand(MI, 1, O);
6472    SStream_concat(O, "], ");
6473    set_mem_access(MI, false);
6474    printOperand(MI, 2, O);
6475    return;
6476    break;
6477  case 69:
6478    // ST1LN_WB_S_fixed, ST1LN_WB_S_register
6479    printVectorList(MI, 3, O, A64Layout_VL_S, 1, MRI);
6480    SStream_concat(O, "[");
6481    set_mem_access(MI, true);
6482    printUImmBareOperand(MI, 4, O);
6483    set_mem_access(MI, false);
6484    SStream_concat(O, "], [");
6485    set_mem_access(MI, true);
6486    printOperand(MI, 1, O);
6487    SStream_concat(O, "], ");
6488    set_mem_access(MI, false);
6489    printOperand(MI, 2, O);
6490    return;
6491    break;
6492  case 70:
6493    // ST1WB_16B_fixed, ST1WB_16B_register
6494    printVectorList(MI, 3, O, A64Layout_VL_16B, 1, MRI);
6495    SStream_concat(O, ", [");
6496    set_mem_access(MI, true);
6497    printOperand(MI, 1, O);
6498    SStream_concat(O, "], ");
6499    set_mem_access(MI, false);
6500    printOperand(MI, 2, O);
6501    return;
6502    break;
6503  case 71:
6504    // ST1WB_1D_fixed, ST1WB_1D_register
6505    printVectorList(MI, 3, O, A64Layout_VL_1D, 1, MRI);
6506    SStream_concat(O, ", [");
6507    set_mem_access(MI, true);
6508    printOperand(MI, 1, O);
6509    SStream_concat(O, "], ");
6510    set_mem_access(MI, false);
6511    printOperand(MI, 2, O);
6512    return;
6513    break;
6514  case 72:
6515    // ST1WB_2D_fixed, ST1WB_2D_register
6516    printVectorList(MI, 3, O, A64Layout_VL_2D, 1, MRI);
6517    SStream_concat(O, ", [");
6518    set_mem_access(MI, true);
6519    printOperand(MI, 1, O);
6520    SStream_concat(O, "], ");
6521    set_mem_access(MI, false);
6522    printOperand(MI, 2, O);
6523    return;
6524    break;
6525  case 73:
6526    // ST1WB_2S_fixed, ST1WB_2S_register
6527    printVectorList(MI, 3, O, A64Layout_VL_2S, 1, MRI);
6528    SStream_concat(O, ", [");
6529    set_mem_access(MI, true);
6530    printOperand(MI, 1, O);
6531    SStream_concat(O, "], ");
6532    set_mem_access(MI, false);
6533    printOperand(MI, 2, O);
6534    return;
6535    break;
6536  case 74:
6537    // ST1WB_4H_fixed, ST1WB_4H_register
6538    printVectorList(MI, 3, O, A64Layout_VL_4H, 1, MRI);
6539    SStream_concat(O, ", [");
6540    set_mem_access(MI, true);
6541    printOperand(MI, 1, O);
6542    SStream_concat(O, "], ");
6543    set_mem_access(MI, false);
6544    printOperand(MI, 2, O);
6545    return;
6546    break;
6547  case 75:
6548    // ST1WB_4S_fixed, ST1WB_4S_register
6549    printVectorList(MI, 3, O, A64Layout_VL_4S, 1, MRI);
6550    SStream_concat(O, ", [");
6551    set_mem_access(MI, true);
6552    printOperand(MI, 1, O);
6553    SStream_concat(O, "], ");
6554    set_mem_access(MI, false);
6555    printOperand(MI, 2, O);
6556    return;
6557    break;
6558  case 76:
6559    // ST1WB_8B_fixed, ST1WB_8B_register
6560    printVectorList(MI, 3, O, A64Layout_VL_8B, 1, MRI);
6561    SStream_concat(O, ", [");
6562    set_mem_access(MI, true);
6563    printOperand(MI, 1, O);
6564    SStream_concat(O, "], ");
6565    set_mem_access(MI, false);
6566    printOperand(MI, 2, O);
6567    return;
6568    break;
6569  case 77:
6570    // ST1WB_8H_fixed, ST1WB_8H_register
6571    printVectorList(MI, 3, O, A64Layout_VL_8H, 1, MRI);
6572    SStream_concat(O, ", [");
6573    set_mem_access(MI, true);
6574    printOperand(MI, 1, O);
6575    SStream_concat(O, "], ");
6576    set_mem_access(MI, false);
6577    printOperand(MI, 2, O);
6578    return;
6579    break;
6580  case 78:
6581    // ST1_16B
6582    printVectorList(MI, 1, O, A64Layout_VL_16B, 1, MRI);
6583    SStream_concat(O, ", [");
6584    set_mem_access(MI, true);
6585    printOperand(MI, 0, O);
6586    SStream_concat(O, "]");
6587    set_mem_access(MI, false);
6588    return;
6589    break;
6590  case 79:
6591    // ST1_1D
6592    printVectorList(MI, 1, O, A64Layout_VL_1D, 1, MRI);
6593    SStream_concat(O, ", [");
6594    set_mem_access(MI, true);
6595    printOperand(MI, 0, O);
6596    SStream_concat(O, "]");
6597    set_mem_access(MI, false);
6598    return;
6599    break;
6600  case 80:
6601    // ST1_2D
6602    printVectorList(MI, 1, O, A64Layout_VL_2D, 1, MRI);
6603    SStream_concat(O, ", [");
6604    set_mem_access(MI, true);
6605    printOperand(MI, 0, O);
6606    SStream_concat(O, "]");
6607    set_mem_access(MI, false);
6608    return;
6609    break;
6610  case 81:
6611    // ST1_2S
6612    printVectorList(MI, 1, O, A64Layout_VL_2S, 1, MRI);
6613    SStream_concat(O, ", [");
6614    set_mem_access(MI, true);
6615    printOperand(MI, 0, O);
6616    SStream_concat(O, "]");
6617    set_mem_access(MI, false);
6618    return;
6619    break;
6620  case 82:
6621    // ST1_4H
6622    printVectorList(MI, 1, O, A64Layout_VL_4H, 1, MRI);
6623    SStream_concat(O, ", [");
6624    set_mem_access(MI, true);
6625    printOperand(MI, 0, O);
6626    SStream_concat(O, "]");
6627    set_mem_access(MI, false);
6628    return;
6629    break;
6630  case 83:
6631    // ST1_4S
6632    printVectorList(MI, 1, O, A64Layout_VL_4S, 1, MRI);
6633    SStream_concat(O, ", [");
6634    set_mem_access(MI, true);
6635    printOperand(MI, 0, O);
6636    SStream_concat(O, "]");
6637    set_mem_access(MI, false);
6638    return;
6639    break;
6640  case 84:
6641    // ST1_8B
6642    printVectorList(MI, 1, O, A64Layout_VL_8B, 1, MRI);
6643    SStream_concat(O, ", [");
6644    set_mem_access(MI, true);
6645    printOperand(MI, 0, O);
6646    SStream_concat(O, "]");
6647    set_mem_access(MI, false);
6648    return;
6649    break;
6650  case 85:
6651    // ST1_8H
6652    printVectorList(MI, 1, O, A64Layout_VL_8H, 1, MRI);
6653    SStream_concat(O, ", [");
6654    set_mem_access(MI, true);
6655    printOperand(MI, 0, O);
6656    SStream_concat(O, "]");
6657    set_mem_access(MI, false);
6658    return;
6659    break;
6660  case 86:
6661    // ST1x2WB_16B_fixed, ST1x2WB_16B_register, ST2WB_16B_fixed, ST2WB_16B_re...
6662    printVectorList(MI, 3, O, A64Layout_VL_16B, 2, MRI);
6663    SStream_concat(O, ", [");
6664    set_mem_access(MI, true);
6665    printOperand(MI, 1, O);
6666    SStream_concat(O, "], ");
6667    set_mem_access(MI, false);
6668    printOperand(MI, 2, O);
6669    return;
6670    break;
6671  case 87:
6672    // ST1x2WB_1D_fixed, ST1x2WB_1D_register
6673    printVectorList(MI, 3, O, A64Layout_VL_1D, 2, MRI);
6674    SStream_concat(O, ", [");
6675    set_mem_access(MI, true);
6676    printOperand(MI, 1, O);
6677    SStream_concat(O, "], ");
6678    set_mem_access(MI, false);
6679    printOperand(MI, 2, O);
6680    return;
6681    break;
6682  case 88:
6683    // ST1x2WB_2D_fixed, ST1x2WB_2D_register, ST2WB_2D_fixed, ST2WB_2D_regist...
6684    printVectorList(MI, 3, O, A64Layout_VL_2D, 2, MRI);
6685    SStream_concat(O, ", [");
6686    set_mem_access(MI, true);
6687    printOperand(MI, 1, O);
6688    SStream_concat(O, "], ");
6689    set_mem_access(MI, false);
6690    printOperand(MI, 2, O);
6691    return;
6692    break;
6693  case 89:
6694    // ST1x2WB_2S_fixed, ST1x2WB_2S_register, ST2WB_2S_fixed, ST2WB_2S_regist...
6695    printVectorList(MI, 3, O, A64Layout_VL_2S, 2, MRI);
6696    SStream_concat(O, ", [");
6697    set_mem_access(MI, true);
6698    printOperand(MI, 1, O);
6699    SStream_concat(O, "], ");
6700    set_mem_access(MI, false);
6701    printOperand(MI, 2, O);
6702    return;
6703    break;
6704  case 90:
6705    // ST1x2WB_4H_fixed, ST1x2WB_4H_register, ST2WB_4H_fixed, ST2WB_4H_regist...
6706    printVectorList(MI, 3, O, A64Layout_VL_4H, 2, MRI);
6707    SStream_concat(O, ", [");
6708    set_mem_access(MI, true);
6709    printOperand(MI, 1, O);
6710    SStream_concat(O, "], ");
6711    set_mem_access(MI, false);
6712    printOperand(MI, 2, O);
6713    return;
6714    break;
6715  case 91:
6716    // ST1x2WB_4S_fixed, ST1x2WB_4S_register, ST2WB_4S_fixed, ST2WB_4S_regist...
6717    printVectorList(MI, 3, O, A64Layout_VL_4S, 2, MRI);
6718    SStream_concat(O, ", [");
6719    set_mem_access(MI, true);
6720    printOperand(MI, 1, O);
6721    SStream_concat(O, "], ");
6722    set_mem_access(MI, false);
6723    printOperand(MI, 2, O);
6724    return;
6725    break;
6726  case 92:
6727    // ST1x2WB_8B_fixed, ST1x2WB_8B_register, ST2WB_8B_fixed, ST2WB_8B_regist...
6728    printVectorList(MI, 3, O, A64Layout_VL_8B, 2, MRI);
6729    SStream_concat(O, ", [");
6730    set_mem_access(MI, true);
6731    printOperand(MI, 1, O);
6732    SStream_concat(O, "], ");
6733    set_mem_access(MI, false);
6734    printOperand(MI, 2, O);
6735    return;
6736    break;
6737  case 93:
6738    // ST1x2WB_8H_fixed, ST1x2WB_8H_register, ST2WB_8H_fixed, ST2WB_8H_regist...
6739    printVectorList(MI, 3, O, A64Layout_VL_8H, 2, MRI);
6740    SStream_concat(O, ", [");
6741    set_mem_access(MI, true);
6742    printOperand(MI, 1, O);
6743    SStream_concat(O, "], ");
6744    set_mem_access(MI, false);
6745    printOperand(MI, 2, O);
6746    return;
6747    break;
6748  case 94:
6749    // ST1x2_16B, ST2_16B
6750    printVectorList(MI, 1, O, A64Layout_VL_16B, 2, MRI);
6751    SStream_concat(O, ", [");
6752    set_mem_access(MI, true);
6753    printOperand(MI, 0, O);
6754    SStream_concat(O, "]");
6755    set_mem_access(MI, false);
6756    return;
6757    break;
6758  case 95:
6759    // ST1x2_1D
6760    printVectorList(MI, 1, O, A64Layout_VL_1D, 2, MRI);
6761    SStream_concat(O, ", [");
6762    set_mem_access(MI, true);
6763    printOperand(MI, 0, O);
6764    SStream_concat(O, "]");
6765    set_mem_access(MI, false);
6766    return;
6767    break;
6768  case 96:
6769    // ST1x2_2D, ST2_2D
6770    printVectorList(MI, 1, O, A64Layout_VL_2D, 2, MRI);
6771    SStream_concat(O, ", [");
6772    set_mem_access(MI, true);
6773    printOperand(MI, 0, O);
6774    SStream_concat(O, "]");
6775    set_mem_access(MI, false);
6776    return;
6777    break;
6778  case 97:
6779    // ST1x2_2S, ST2_2S
6780    printVectorList(MI, 1, O, A64Layout_VL_2S, 2, MRI);
6781    SStream_concat(O, ", [");
6782    set_mem_access(MI, true);
6783    printOperand(MI, 0, O);
6784    SStream_concat(O, "]");
6785    set_mem_access(MI, false);
6786    return;
6787    break;
6788  case 98:
6789    // ST1x2_4H, ST2_4H
6790    printVectorList(MI, 1, O, A64Layout_VL_4H, 2, MRI);
6791    SStream_concat(O, ", [");
6792    set_mem_access(MI, true);
6793    printOperand(MI, 0, O);
6794    SStream_concat(O, "]");
6795    set_mem_access(MI, false);
6796    return;
6797    break;
6798  case 99:
6799    // ST1x2_4S, ST2_4S
6800    printVectorList(MI, 1, O, A64Layout_VL_4S, 2, MRI);
6801    SStream_concat(O, ", [");
6802    set_mem_access(MI, true);
6803    printOperand(MI, 0, O);
6804    SStream_concat(O, "]");
6805    set_mem_access(MI, false);
6806    return;
6807    break;
6808  case 100:
6809    // ST1x2_8B, ST2_8B
6810    printVectorList(MI, 1, O, A64Layout_VL_8B, 2, MRI);
6811    SStream_concat(O, ", [");
6812    set_mem_access(MI, true);
6813    printOperand(MI, 0, O);
6814    SStream_concat(O, "]");
6815    set_mem_access(MI, false);
6816    return;
6817    break;
6818  case 101:
6819    // ST1x2_8H, ST2_8H
6820    printVectorList(MI, 1, O, A64Layout_VL_8H, 2, MRI);
6821    SStream_concat(O, ", [");
6822    set_mem_access(MI, true);
6823    printOperand(MI, 0, O);
6824    SStream_concat(O, "]");
6825    set_mem_access(MI, false);
6826    return;
6827    break;
6828  case 102:
6829    // ST1x3WB_16B_fixed, ST1x3WB_16B_register, ST3WB_16B_fixed, ST3WB_16B_re...
6830    printVectorList(MI, 3, O, A64Layout_VL_16B, 3, MRI);
6831    SStream_concat(O, ", [");
6832    set_mem_access(MI, true);
6833    printOperand(MI, 1, O);
6834    SStream_concat(O, "], ");
6835    set_mem_access(MI, false);
6836    printOperand(MI, 2, O);
6837    return;
6838    break;
6839  case 103:
6840    // ST1x3WB_1D_fixed, ST1x3WB_1D_register
6841    printVectorList(MI, 3, O, A64Layout_VL_1D, 3, MRI);
6842    SStream_concat(O, ", [");
6843    set_mem_access(MI, true);
6844    printOperand(MI, 1, O);
6845    SStream_concat(O, "], ");
6846    set_mem_access(MI, false);
6847    printOperand(MI, 2, O);
6848    return;
6849    break;
6850  case 104:
6851    // ST1x3WB_2D_fixed, ST1x3WB_2D_register, ST3WB_2D_fixed, ST3WB_2D_regist...
6852    printVectorList(MI, 3, O, A64Layout_VL_2D, 3, MRI);
6853    SStream_concat(O, ", [");
6854    set_mem_access(MI, true);
6855    printOperand(MI, 1, O);
6856    SStream_concat(O, "], ");
6857    set_mem_access(MI, false);
6858    printOperand(MI, 2, O);
6859    return;
6860    break;
6861  case 105:
6862    // ST1x3WB_2S_fixed, ST1x3WB_2S_register, ST3WB_2S_fixed, ST3WB_2S_regist...
6863    printVectorList(MI, 3, O, A64Layout_VL_2S, 3, MRI);
6864    SStream_concat(O, ", [");
6865    set_mem_access(MI, true);
6866    printOperand(MI, 1, O);
6867    SStream_concat(O, "], ");
6868    set_mem_access(MI, false);
6869    printOperand(MI, 2, O);
6870    return;
6871    break;
6872  case 106:
6873    // ST1x3WB_4H_fixed, ST1x3WB_4H_register, ST3WB_4H_fixed, ST3WB_4H_regist...
6874    printVectorList(MI, 3, O, A64Layout_VL_4H, 3, MRI);
6875    SStream_concat(O, ", [");
6876    set_mem_access(MI, true);
6877    printOperand(MI, 1, O);
6878    SStream_concat(O, "], ");
6879    set_mem_access(MI, false);
6880    printOperand(MI, 2, O);
6881    return;
6882    break;
6883  case 107:
6884    // ST1x3WB_4S_fixed, ST1x3WB_4S_register, ST3WB_4S_fixed, ST3WB_4S_regist...
6885    printVectorList(MI, 3, O, A64Layout_VL_4S, 3, MRI);
6886    SStream_concat(O, ", [");
6887    set_mem_access(MI, true);
6888    printOperand(MI, 1, O);
6889    SStream_concat(O, "], ");
6890    set_mem_access(MI, false);
6891    printOperand(MI, 2, O);
6892    return;
6893    break;
6894  case 108:
6895    // ST1x3WB_8B_fixed, ST1x3WB_8B_register, ST3WB_8B_fixed, ST3WB_8B_regist...
6896    printVectorList(MI, 3, O, A64Layout_VL_8B, 3, MRI);
6897    SStream_concat(O, ", [");
6898    set_mem_access(MI, true);
6899    printOperand(MI, 1, O);
6900    SStream_concat(O, "], ");
6901    set_mem_access(MI, false);
6902    printOperand(MI, 2, O);
6903    return;
6904    break;
6905  case 109:
6906    // ST1x3WB_8H_fixed, ST1x3WB_8H_register, ST3WB_8H_fixed, ST3WB_8H_regist...
6907    printVectorList(MI, 3, O, A64Layout_VL_8H, 3, MRI);
6908    SStream_concat(O, ", [");
6909    set_mem_access(MI, true);
6910    printOperand(MI, 1, O);
6911    SStream_concat(O, "], ");
6912    set_mem_access(MI, false);
6913    printOperand(MI, 2, O);
6914    return;
6915    break;
6916  case 110:
6917    // ST1x3_16B, ST3_16B
6918    printVectorList(MI, 1, O, A64Layout_VL_16B, 3, MRI);
6919    SStream_concat(O, ", [");
6920    set_mem_access(MI, true);
6921    printOperand(MI, 0, O);
6922    SStream_concat(O, "]");
6923    set_mem_access(MI, false);
6924    return;
6925    break;
6926  case 111:
6927    // ST1x3_1D
6928    printVectorList(MI, 1, O, A64Layout_VL_1D, 3, MRI);
6929    SStream_concat(O, ", [");
6930    set_mem_access(MI, true);
6931    printOperand(MI, 0, O);
6932    SStream_concat(O, "]");
6933    set_mem_access(MI, false);
6934    return;
6935    break;
6936  case 112:
6937    // ST1x3_2D, ST3_2D
6938    printVectorList(MI, 1, O, A64Layout_VL_2D, 3, MRI);
6939    SStream_concat(O, ", [");
6940    set_mem_access(MI, true);
6941    printOperand(MI, 0, O);
6942    SStream_concat(O, "]");
6943    set_mem_access(MI, false);
6944    return;
6945    break;
6946  case 113:
6947    // ST1x3_2S, ST3_2S
6948    printVectorList(MI, 1, O, A64Layout_VL_2S, 3, MRI);
6949    SStream_concat(O, ", [");
6950    set_mem_access(MI, true);
6951    printOperand(MI, 0, O);
6952    SStream_concat(O, "]");
6953    set_mem_access(MI, false);
6954    return;
6955    break;
6956  case 114:
6957    // ST1x3_4H, ST3_4H
6958    printVectorList(MI, 1, O, A64Layout_VL_4H, 3, MRI);
6959    SStream_concat(O, ", [");
6960    set_mem_access(MI, true);
6961    printOperand(MI, 0, O);
6962    SStream_concat(O, "]");
6963    set_mem_access(MI, false);
6964    return;
6965    break;
6966  case 115:
6967    // ST1x3_4S, ST3_4S
6968    printVectorList(MI, 1, O, A64Layout_VL_4S, 3, MRI);
6969    SStream_concat(O, ", [");
6970    set_mem_access(MI, true);
6971    printOperand(MI, 0, O);
6972    SStream_concat(O, "]");
6973    set_mem_access(MI, false);
6974    return;
6975    break;
6976  case 116:
6977    // ST1x3_8B, ST3_8B
6978    printVectorList(MI, 1, O, A64Layout_VL_8B, 3, MRI);
6979    SStream_concat(O, ", [");
6980    set_mem_access(MI, true);
6981    printOperand(MI, 0, O);
6982    SStream_concat(O, "]");
6983    set_mem_access(MI, false);
6984    return;
6985    break;
6986  case 117:
6987    // ST1x3_8H, ST3_8H
6988    printVectorList(MI, 1, O, A64Layout_VL_8H, 3, MRI);
6989    SStream_concat(O, ", [");
6990    set_mem_access(MI, true);
6991    printOperand(MI, 0, O);
6992    SStream_concat(O, "]");
6993    set_mem_access(MI, false);
6994    return;
6995    break;
6996  case 118:
6997    // ST1x4WB_16B_fixed, ST1x4WB_16B_register, ST4WB_16B_fixed, ST4WB_16B_re...
6998    printVectorList(MI, 3, O, A64Layout_VL_16B, 4, MRI);
6999    SStream_concat(O, ", [");
7000    set_mem_access(MI, true);
7001    printOperand(MI, 1, O);
7002    SStream_concat(O, "], ");
7003    set_mem_access(MI, false);
7004    printOperand(MI, 2, O);
7005    return;
7006    break;
7007  case 119:
7008    // ST1x4WB_1D_fixed, ST1x4WB_1D_register
7009    printVectorList(MI, 3, O, A64Layout_VL_1D, 4, MRI);
7010    SStream_concat(O, ", [");
7011    set_mem_access(MI, true);
7012    printOperand(MI, 1, O);
7013    SStream_concat(O, "], ");
7014    set_mem_access(MI, false);
7015    printOperand(MI, 2, O);
7016    return;
7017    break;
7018  case 120:
7019    // ST1x4WB_2D_fixed, ST1x4WB_2D_register, ST4WB_2D_fixed, ST4WB_2D_regist...
7020    printVectorList(MI, 3, O, A64Layout_VL_2D, 4, MRI);
7021    SStream_concat(O, ", [");
7022    set_mem_access(MI, true);
7023    printOperand(MI, 1, O);
7024    SStream_concat(O, "], ");
7025    set_mem_access(MI, false);
7026    printOperand(MI, 2, O);
7027    return;
7028    break;
7029  case 121:
7030    // ST1x4WB_2S_fixed, ST1x4WB_2S_register, ST4WB_2S_fixed, ST4WB_2S_regist...
7031    printVectorList(MI, 3, O, A64Layout_VL_2S, 4, MRI);
7032    SStream_concat(O, ", [");
7033    set_mem_access(MI, true);
7034    printOperand(MI, 1, O);
7035    SStream_concat(O, "], ");
7036    set_mem_access(MI, false);
7037    printOperand(MI, 2, O);
7038    return;
7039    break;
7040  case 122:
7041    // ST1x4WB_4H_fixed, ST1x4WB_4H_register, ST4WB_4H_fixed, ST4WB_4H_regist...
7042    printVectorList(MI, 3, O, A64Layout_VL_4H, 4, MRI);
7043    SStream_concat(O, ", [");
7044    set_mem_access(MI, true);
7045    printOperand(MI, 1, O);
7046    SStream_concat(O, "], ");
7047    set_mem_access(MI, false);
7048    printOperand(MI, 2, O);
7049    return;
7050    break;
7051  case 123:
7052    // ST1x4WB_4S_fixed, ST1x4WB_4S_register, ST4WB_4S_fixed, ST4WB_4S_regist...
7053    printVectorList(MI, 3, O, A64Layout_VL_4S, 4, MRI);
7054    SStream_concat(O, ", [");
7055    set_mem_access(MI, true);
7056    printOperand(MI, 1, O);
7057    SStream_concat(O, "], ");
7058    set_mem_access(MI, false);
7059    printOperand(MI, 2, O);
7060    return;
7061    break;
7062  case 124:
7063    // ST1x4WB_8B_fixed, ST1x4WB_8B_register, ST4WB_8B_fixed, ST4WB_8B_regist...
7064    printVectorList(MI, 3, O, A64Layout_VL_8B, 4, MRI);
7065    SStream_concat(O, ", [");
7066    set_mem_access(MI, true);
7067    printOperand(MI, 1, O);
7068    SStream_concat(O, "], ");
7069    set_mem_access(MI, false);
7070    printOperand(MI, 2, O);
7071    return;
7072    break;
7073  case 125:
7074    // ST1x4WB_8H_fixed, ST1x4WB_8H_register, ST4WB_8H_fixed, ST4WB_8H_regist...
7075    printVectorList(MI, 3, O, A64Layout_VL_8H, 4, MRI);
7076    SStream_concat(O, ", [");
7077    set_mem_access(MI, true);
7078    printOperand(MI, 1, O);
7079    SStream_concat(O, "], ");
7080    set_mem_access(MI, false);
7081    printOperand(MI, 2, O);
7082    return;
7083    break;
7084  case 126:
7085    // ST1x4_16B, ST4_16B
7086    printVectorList(MI, 1, O, A64Layout_VL_16B, 4, MRI);
7087    SStream_concat(O, ", [");
7088    set_mem_access(MI, true);
7089    printOperand(MI, 0, O);
7090    SStream_concat(O, "]");
7091    set_mem_access(MI, false);
7092    return;
7093    break;
7094  case 127:
7095    // ST1x4_1D
7096    printVectorList(MI, 1, O, A64Layout_VL_1D, 4, MRI);
7097    SStream_concat(O, ", [");
7098    set_mem_access(MI, true);
7099    printOperand(MI, 0, O);
7100    SStream_concat(O, "]");
7101    set_mem_access(MI, false);
7102    return;
7103    break;
7104  case 128:
7105    // ST1x4_2D, ST4_2D
7106    printVectorList(MI, 1, O, A64Layout_VL_2D, 4, MRI);
7107    SStream_concat(O, ", [");
7108    set_mem_access(MI, true);
7109    printOperand(MI, 0, O);
7110    SStream_concat(O, "]");
7111    set_mem_access(MI, false);
7112    return;
7113    break;
7114  case 129:
7115    // ST1x4_2S, ST4_2S
7116    printVectorList(MI, 1, O, A64Layout_VL_2S, 4, MRI);
7117    SStream_concat(O, ", [");
7118    set_mem_access(MI, true);
7119    printOperand(MI, 0, O);
7120    SStream_concat(O, "]");
7121    set_mem_access(MI, false);
7122    return;
7123    break;
7124  case 130:
7125    // ST1x4_4H, ST4_4H
7126    printVectorList(MI, 1, O, A64Layout_VL_4H, 4, MRI);
7127    SStream_concat(O, ", [");
7128    set_mem_access(MI, true);
7129    printOperand(MI, 0, O);
7130    SStream_concat(O, "]");
7131    set_mem_access(MI, false);
7132    return;
7133    break;
7134  case 131:
7135    // ST1x4_4S, ST4_4S
7136    printVectorList(MI, 1, O, A64Layout_VL_4S, 4, MRI);
7137    SStream_concat(O, ", [");
7138    set_mem_access(MI, true);
7139    printOperand(MI, 0, O);
7140    SStream_concat(O, "]");
7141    set_mem_access(MI, false);
7142    return;
7143    break;
7144  case 132:
7145    // ST1x4_8B, ST4_8B
7146    printVectorList(MI, 1, O, A64Layout_VL_8B, 4, MRI);
7147    SStream_concat(O, ", [");
7148    set_mem_access(MI, true);
7149    printOperand(MI, 0, O);
7150    SStream_concat(O, "]");
7151    set_mem_access(MI, false);
7152    return;
7153    break;
7154  case 133:
7155    // ST1x4_8H, ST4_8H
7156    printVectorList(MI, 1, O, A64Layout_VL_8H, 4, MRI);
7157    SStream_concat(O, ", [");
7158    set_mem_access(MI, true);
7159    printOperand(MI, 0, O);
7160    SStream_concat(O, "]");
7161    set_mem_access(MI, false);
7162    return;
7163    break;
7164  case 134:
7165    // ST2LN_B
7166    printVectorList(MI, 1, O, A64Layout_VL_B, 2, MRI);
7167    SStream_concat(O, "[");
7168    set_mem_access(MI, true);
7169    printUImmBareOperand(MI, 2, O);
7170    set_mem_access(MI, false);
7171    SStream_concat(O, "], [");
7172    set_mem_access(MI, true);
7173    printOperand(MI, 0, O);
7174    SStream_concat(O, "]");
7175    set_mem_access(MI, false);
7176    return;
7177    break;
7178  case 135:
7179    // ST2LN_D
7180    printVectorList(MI, 1, O, A64Layout_VL_D, 2, MRI);
7181    SStream_concat(O, "[");
7182    set_mem_access(MI, true);
7183    printUImmBareOperand(MI, 2, O);
7184    set_mem_access(MI, false);
7185    SStream_concat(O, "], [");
7186    set_mem_access(MI, true);
7187    printOperand(MI, 0, O);
7188    SStream_concat(O, "]");
7189    set_mem_access(MI, false);
7190    return;
7191    break;
7192  case 136:
7193    // ST2LN_H
7194    printVectorList(MI, 1, O, A64Layout_VL_H, 2, MRI);
7195    SStream_concat(O, "[");
7196    set_mem_access(MI, true);
7197    printUImmBareOperand(MI, 2, O);
7198    set_mem_access(MI, false);
7199    SStream_concat(O, "], [");
7200    set_mem_access(MI, true);
7201    printOperand(MI, 0, O);
7202    SStream_concat(O, "]");
7203    set_mem_access(MI, false);
7204    return;
7205    break;
7206  case 137:
7207    // ST2LN_S
7208    printVectorList(MI, 1, O, A64Layout_VL_S, 2, MRI);
7209    SStream_concat(O, "[");
7210    set_mem_access(MI, true);
7211    printUImmBareOperand(MI, 2, O);
7212    set_mem_access(MI, false);
7213    SStream_concat(O, "], [");
7214    set_mem_access(MI, true);
7215    printOperand(MI, 0, O);
7216    SStream_concat(O, "]");
7217    set_mem_access(MI, false);
7218    return;
7219    break;
7220  case 138:
7221    // ST2LN_WB_B_fixed, ST2LN_WB_B_register
7222    printVectorList(MI, 3, O, A64Layout_VL_B, 2, MRI);
7223    SStream_concat(O, "[");
7224    set_mem_access(MI, true);
7225    printUImmBareOperand(MI, 4, O);
7226    set_mem_access(MI, false);
7227    SStream_concat(O, "], [");
7228    set_mem_access(MI, true);
7229    printOperand(MI, 1, O);
7230    SStream_concat(O, "], ");
7231    set_mem_access(MI, false);
7232    printOperand(MI, 2, O);
7233    return;
7234    break;
7235  case 139:
7236    // ST2LN_WB_D_fixed, ST2LN_WB_D_register
7237    printVectorList(MI, 3, O, A64Layout_VL_D, 2, MRI);
7238    SStream_concat(O, "[");
7239    set_mem_access(MI, true);
7240    printUImmBareOperand(MI, 4, O);
7241    set_mem_access(MI, false);
7242    SStream_concat(O, "], [");
7243    set_mem_access(MI, true);
7244    printOperand(MI, 1, O);
7245    SStream_concat(O, "], ");
7246    set_mem_access(MI, false);
7247    printOperand(MI, 2, O);
7248    return;
7249    break;
7250  case 140:
7251    // ST2LN_WB_H_fixed, ST2LN_WB_H_register
7252    printVectorList(MI, 3, O, A64Layout_VL_H, 2, MRI);
7253    SStream_concat(O, "[");
7254    set_mem_access(MI, true);
7255    printUImmBareOperand(MI, 4, O);
7256    set_mem_access(MI, false);
7257    SStream_concat(O, "], [");
7258    set_mem_access(MI, true);
7259    printOperand(MI, 1, O);
7260    SStream_concat(O, "], ");
7261    set_mem_access(MI, false);
7262    printOperand(MI, 2, O);
7263    return;
7264    break;
7265  case 141:
7266    // ST2LN_WB_S_fixed, ST2LN_WB_S_register
7267    printVectorList(MI, 3, O, A64Layout_VL_S, 2, MRI);
7268    SStream_concat(O, "[");
7269    set_mem_access(MI, true);
7270    printUImmBareOperand(MI, 4, O);
7271    set_mem_access(MI, false);
7272    SStream_concat(O, "], [");
7273    set_mem_access(MI, true);
7274    printOperand(MI, 1, O);
7275    SStream_concat(O, "], ");
7276    set_mem_access(MI, false);
7277    printOperand(MI, 2, O);
7278    return;
7279    break;
7280  case 142:
7281    // ST3LN_B
7282    printVectorList(MI, 1, O, A64Layout_VL_B, 3, MRI);
7283    SStream_concat(O, "[");
7284    set_mem_access(MI, true);
7285    printUImmBareOperand(MI, 2, O);
7286    set_mem_access(MI, false);
7287    SStream_concat(O, "], [");
7288    set_mem_access(MI, true);
7289    printOperand(MI, 0, O);
7290    SStream_concat(O, "]");
7291    set_mem_access(MI, false);
7292    return;
7293    break;
7294  case 143:
7295    // ST3LN_D
7296    printVectorList(MI, 1, O, A64Layout_VL_D, 3, MRI);
7297    SStream_concat(O, "[");
7298    set_mem_access(MI, true);
7299    printUImmBareOperand(MI, 2, O);
7300    set_mem_access(MI, false);
7301    SStream_concat(O, "], [");
7302    set_mem_access(MI, true);
7303    printOperand(MI, 0, O);
7304    SStream_concat(O, "]");
7305    set_mem_access(MI, false);
7306    return;
7307    break;
7308  case 144:
7309    // ST3LN_H
7310    printVectorList(MI, 1, O, A64Layout_VL_H, 3, MRI);
7311    SStream_concat(O, "[");
7312    set_mem_access(MI, true);
7313    printUImmBareOperand(MI, 2, O);
7314    set_mem_access(MI, false);
7315    SStream_concat(O, "], [");
7316    set_mem_access(MI, true);
7317    printOperand(MI, 0, O);
7318    SStream_concat(O, "]");
7319    set_mem_access(MI, false);
7320    return;
7321    break;
7322  case 145:
7323    // ST3LN_S
7324    printVectorList(MI, 1, O, A64Layout_VL_S, 3, MRI);
7325    SStream_concat(O, "[");
7326    set_mem_access(MI, true);
7327    printUImmBareOperand(MI, 2, O);
7328    set_mem_access(MI, false);
7329    SStream_concat(O, "], [");
7330    set_mem_access(MI, true);
7331    printOperand(MI, 0, O);
7332    SStream_concat(O, "]");
7333    set_mem_access(MI, false);
7334    return;
7335    break;
7336  case 146:
7337    // ST3LN_WB_B_fixed, ST3LN_WB_B_register
7338    printVectorList(MI, 3, O, A64Layout_VL_B, 3, MRI);
7339    SStream_concat(O, "[");
7340    set_mem_access(MI, true);
7341    printUImmBareOperand(MI, 4, O);
7342    set_mem_access(MI, false);
7343    SStream_concat(O, "], [");
7344    set_mem_access(MI, true);
7345    printOperand(MI, 1, O);
7346    SStream_concat(O, "], ");
7347    set_mem_access(MI, false);
7348    printOperand(MI, 2, O);
7349    return;
7350    break;
7351  case 147:
7352    // ST3LN_WB_D_fixed, ST3LN_WB_D_register
7353    printVectorList(MI, 3, O, A64Layout_VL_D, 3, MRI);
7354    SStream_concat(O, "[");
7355    set_mem_access(MI, true);
7356    printUImmBareOperand(MI, 4, O);
7357    set_mem_access(MI, false);
7358    SStream_concat(O, "], [");
7359    set_mem_access(MI, true);
7360    printOperand(MI, 1, O);
7361    SStream_concat(O, "], ");
7362    set_mem_access(MI, false);
7363    printOperand(MI, 2, O);
7364    return;
7365    break;
7366  case 148:
7367    // ST3LN_WB_H_fixed, ST3LN_WB_H_register
7368    printVectorList(MI, 3, O, A64Layout_VL_H, 3, MRI);
7369    SStream_concat(O, "[");
7370    set_mem_access(MI, true);
7371    printUImmBareOperand(MI, 4, O);
7372    set_mem_access(MI, false);
7373    SStream_concat(O, "], [");
7374    set_mem_access(MI, true);
7375    printOperand(MI, 1, O);
7376    SStream_concat(O, "], ");
7377    set_mem_access(MI, false);
7378    printOperand(MI, 2, O);
7379    return;
7380    break;
7381  case 149:
7382    // ST3LN_WB_S_fixed, ST3LN_WB_S_register
7383    printVectorList(MI, 3, O, A64Layout_VL_S, 3, MRI);
7384    SStream_concat(O, "[");
7385    set_mem_access(MI, true);
7386    printUImmBareOperand(MI, 4, O);
7387    set_mem_access(MI, false);
7388    SStream_concat(O, "], [");
7389    set_mem_access(MI, true);
7390    printOperand(MI, 1, O);
7391    SStream_concat(O, "], ");
7392    set_mem_access(MI, false);
7393    printOperand(MI, 2, O);
7394    return;
7395    break;
7396  case 150:
7397    // ST4LN_B
7398    printVectorList(MI, 1, O, A64Layout_VL_B, 4, MRI);
7399    SStream_concat(O, "[");
7400    set_mem_access(MI, true);
7401    printUImmBareOperand(MI, 2, O);
7402    set_mem_access(MI, false);
7403    SStream_concat(O, "], [");
7404    set_mem_access(MI, true);
7405    printOperand(MI, 0, O);
7406    SStream_concat(O, "]");
7407    set_mem_access(MI, false);
7408    return;
7409    break;
7410  case 151:
7411    // ST4LN_D
7412    printVectorList(MI, 1, O, A64Layout_VL_D, 4, MRI);
7413    SStream_concat(O, "[");
7414    set_mem_access(MI, true);
7415    printUImmBareOperand(MI, 2, O);
7416    set_mem_access(MI, false);
7417    SStream_concat(O, "], [");
7418    set_mem_access(MI, true);
7419    printOperand(MI, 0, O);
7420    SStream_concat(O, "]");
7421    set_mem_access(MI, false);
7422    return;
7423    break;
7424  case 152:
7425    // ST4LN_H
7426    printVectorList(MI, 1, O, A64Layout_VL_H, 4, MRI);
7427    SStream_concat(O, "[");
7428    set_mem_access(MI, true);
7429    printUImmBareOperand(MI, 2, O);
7430    set_mem_access(MI, false);
7431    SStream_concat(O, "], [");
7432    set_mem_access(MI, true);
7433    printOperand(MI, 0, O);
7434    SStream_concat(O, "]");
7435    set_mem_access(MI, false);
7436    return;
7437    break;
7438  case 153:
7439    // ST4LN_S
7440    printVectorList(MI, 1, O, A64Layout_VL_S, 4, MRI);
7441    SStream_concat(O, "[");
7442    set_mem_access(MI, true);
7443    printUImmBareOperand(MI, 2, O);
7444    set_mem_access(MI, false);
7445    SStream_concat(O, "], [");
7446    set_mem_access(MI, true);
7447    printOperand(MI, 0, O);
7448    SStream_concat(O, "]");
7449    set_mem_access(MI, false);
7450    return;
7451    break;
7452  case 154:
7453    // ST4LN_WB_B_fixed, ST4LN_WB_B_register
7454    printVectorList(MI, 3, O, A64Layout_VL_B, 4, MRI);
7455    SStream_concat(O, "[");
7456    set_mem_access(MI, true);
7457    printUImmBareOperand(MI, 4, O);
7458    set_mem_access(MI, false);
7459    SStream_concat(O, "], [");
7460    set_mem_access(MI, true);
7461    printOperand(MI, 1, O);
7462    SStream_concat(O, "], ");
7463    set_mem_access(MI, false);
7464    printOperand(MI, 2, O);
7465    return;
7466    break;
7467  case 155:
7468    // ST4LN_WB_D_fixed, ST4LN_WB_D_register
7469    printVectorList(MI, 3, O, A64Layout_VL_D, 4, MRI);
7470    SStream_concat(O, "[");
7471    set_mem_access(MI, true);
7472    printUImmBareOperand(MI, 4, O);
7473    set_mem_access(MI, false);
7474    SStream_concat(O, "], [");
7475    set_mem_access(MI, true);
7476    printOperand(MI, 1, O);
7477    SStream_concat(O, "], ");
7478    set_mem_access(MI, false);
7479    printOperand(MI, 2, O);
7480    return;
7481    break;
7482  case 156:
7483    // ST4LN_WB_H_fixed, ST4LN_WB_H_register
7484    printVectorList(MI, 3, O, A64Layout_VL_H, 4, MRI);
7485    SStream_concat(O, "[");
7486    set_mem_access(MI, true);
7487    printUImmBareOperand(MI, 4, O);
7488    set_mem_access(MI, false);
7489    SStream_concat(O, "], [");
7490    set_mem_access(MI, true);
7491    printOperand(MI, 1, O);
7492    SStream_concat(O, "], ");
7493    set_mem_access(MI, false);
7494    printOperand(MI, 2, O);
7495    return;
7496    break;
7497  case 157:
7498    // ST4LN_WB_S_fixed, ST4LN_WB_S_register
7499    printVectorList(MI, 3, O, A64Layout_VL_S, 4, MRI);
7500    SStream_concat(O, "[");
7501    set_mem_access(MI, true);
7502    printUImmBareOperand(MI, 4, O);
7503    set_mem_access(MI, false);
7504    SStream_concat(O, "], [");
7505    set_mem_access(MI, true);
7506    printOperand(MI, 1, O);
7507    SStream_concat(O, "], ");
7508    set_mem_access(MI, false);
7509    printOperand(MI, 2, O);
7510    return;
7511    break;
7512  case 158:
7513    // TLBIi, TLBIix
7514    printNamedImmOperand(MI, 0, O, &A64TLBI_TLBIMapper);
7515    break;
7516  }
7517
7518
7519  // Fragment 1 encoded into 5 bits for 20 unique commands.
7520  //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
7521  switch ((Bits >> 20) & 31) {
7522  default:   // unreachable.
7523  case 0:
7524    // ABS16b, ADDHN2vvv_16b8h, ADDP_16B, ADDvvv_16B, AESD, AESE, AESIMC, AES...
7525    SStream_concat(O, ".16b, ");
7526    break;
7527  case 1:
7528    // ABS2d, ADDP_2D, ADDvvv_2D, CMEQvvi_2D, CMEQvvv_2D, CMGEvvi_2D, CMGEvvv...
7529    SStream_concat(O, ".2d, ");
7530    break;
7531  case 2:
7532    // ABS2s, ADDHNvvv_2s2d, ADDP_2S, ADDvvv_2S, BICvi_lsl_2S, CLS2s, CLZ2s, ...
7533    SStream_concat(O, ".2s, ");
7534    break;
7535  case 3:
7536    // ABS4h, ADDHNvvv_4h4s, ADDP_4H, ADDvvv_4H, BICvi_lsl_4H, CLS4h, CLZ4h, ...
7537    SStream_concat(O, ".4h, ");
7538    break;
7539  case 4:
7540    // ABS4s, ADDHN2vvv_4s2d, ADDP_4S, ADDvvv_4S, BICvi_lsl_4S, CLS4s, CLZ4s,...
7541    SStream_concat(O, ".4s, ");
7542    break;
7543  case 5:
7544    // ABS8b, ADDHNvvv_8b8h, ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv...
7545    SStream_concat(O, ".8b, ");
7546    break;
7547  case 6:
7548    // ABS8h, ADDHN2vvv_8h4s, ADDP_8H, ADDvvv_8H, BICvi_lsl_8H, CLS8h, CLZ8h,...
7549    SStream_concat(O, ".8h, ");
7550    break;
7551  case 7:
7552    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDPvv_D_2D, ADDSwww_asr, ADD...
7553    SStream_concat(O, ", ");
7554    break;
7555  case 8:
7556    // BLRx, BRKi, BRx, CLREXi, DCPS1i, DCPS2i, DCPS3i, HINTi, HLTi, HVCi, IC...
7557    return;
7558    break;
7559  case 9:
7560    // FMOVvx, INSELd, INSdx
7561    SStream_concat(O, ".d[");
7562    set_mem_access(MI, true);
7563    break;
7564  case 10:
7565    // INSELb, INSbw
7566    SStream_concat(O, ".b[");
7567    set_mem_access(MI, true);
7568    printUImmBareOperand(MI, 3, O);
7569    SStream_concat(O, "], ");
7570    set_mem_access(MI, false);
7571    break;
7572  case 11:
7573    // INSELh, INShw
7574    SStream_concat(O, ".h[");
7575    set_mem_access(MI, true);
7576    printUImmBareOperand(MI, 3, O);
7577    SStream_concat(O, "], ");
7578    set_mem_access(MI, false);
7579    break;
7580  case 12:
7581    // INSELs, INSsw
7582    SStream_concat(O, ".s[");
7583    set_mem_access(MI, true);
7584    printUImmBareOperand(MI, 3, O);
7585    SStream_concat(O, "], ");
7586    set_mem_access(MI, false);
7587    break;
7588  case 13:
7589    // LD1LN_B, LD1LN_D, LD1LN_H, LD1LN_S, LD2LN_B, LD2LN_D, LD2LN_H, LD2LN_S...
7590    printUImmBareOperand(MI, 3, O);
7591    set_mem_access(MI, false);
7592    SStream_concat(O, "], [");
7593    set_mem_access(MI, true);
7594    printOperand(MI, 1, O);
7595    SStream_concat(O, "]");
7596    set_mem_access(MI, false);
7597    return;
7598    break;
7599  case 14:
7600    // LD1LN_WB_B_fixed, LD1LN_WB_B_register, LD1LN_WB_D_fixed, LD1LN_WB_D_re...
7601    printUImmBareOperand(MI, 5, O);
7602    set_mem_access(MI, false);
7603    SStream_concat(O, "], [");
7604    set_mem_access(MI, true);
7605    printOperand(MI, 2, O);
7606    SStream_concat(O, "], ");
7607    set_mem_access(MI, false);
7608    printOperand(MI, 3, O);
7609    return;
7610    break;
7611  case 15:
7612    // LD1R_16B, LD1R_1D, LD1R_2D, LD1R_2S, LD1R_4H, LD1R_4S, LD1R_8B, LD1R_8...
7613    printOperand(MI, 1, O);
7614    SStream_concat(O, "]");
7615    set_mem_access(MI, false);
7616    return;
7617    break;
7618  case 16:
7619    // LD1R_WB_16B_fixed, LD1R_WB_16B_register, LD1R_WB_1D_fixed, LD1R_WB_1D_...
7620    printOperand(MI, 2, O);
7621    SStream_concat(O, "], ");
7622    set_mem_access(MI, false);
7623    printOperand(MI, 3, O);
7624    return;
7625    break;
7626  case 17:
7627    // LDAR_byte, LDAR_dword, LDAR_hword, LDAR_word, LDAXR_byte, LDAXR_dword,...
7628    SStream_concat(O, ", [");
7629    set_mem_access(MI, true);
7630    break;
7631  case 18:
7632    // PMULL2vvv_1q2d, PMULLvvv_1q1d
7633    SStream_concat(O, ".1q, ");
7634    printVPRRegister(MI, 1, O);
7635    break;
7636  case 19:
7637    // SADALP2s1d, SADDLP2s1d, UADALP2s1d, UADDLP2s1d
7638    SStream_concat(O, ".1d, ");
7639    break;
7640  }
7641
7642
7643  // Fragment 2 encoded into 5 bits for 30 unique commands.
7644  //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 31);
7645  switch ((Bits >> 25) & 31) {
7646  default:   // unreachable.
7647  case 0:
7648    // ABS16b, ABS2d, ABS2s, ABS4h, ABS4s, ABS8b, ABS8h, ADDHNvvv_2s2d, ADDHN...
7649    printVPRRegister(MI, 1, O);
7650    break;
7651  case 1:
7652    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADD...
7653    printOperand(MI, 1, O);
7654    break;
7655  case 2:
7656    // ADDHN2vvv_16b8h, ADDHN2vvv_4s2d, ADDHN2vvv_8h4s, AESD, AESE, BIFvvv_16...
7657    printVPRRegister(MI, 2, O);
7658    break;
7659  case 3:
7660    // ADDwwi_lsl0_cmp, ADDxxi_lsl0_cmp, SUBwwi_lsl0_cmp, SUBxxi_lsl0_cmp
7661    printAddSubImmLSL0Operand(MI, 1, O);
7662    return;
7663    break;
7664  case 4:
7665    // ADDwwi_lsl12_cmp, ADDxxi_lsl12_cmp, SUBwwi_lsl12_cmp, SUBxxi_lsl12_cmp
7666    printAddSubImmLSL12Operand(MI, 1, O);
7667    return;
7668    break;
7669  case 5:
7670    // ADRPxi
7671    printLabelOperand(MI, 1, O, 21, 4096);
7672    return;
7673    break;
7674  case 6:
7675    // ADRxi
7676    printLabelOperand(MI, 1, O, 21, 1);
7677    return;
7678    break;
7679  case 7:
7680    // BFIwwii, BFIxxii, BFMwwii, BFMxxii, BFXILwwii, BFXILxxii, FMLAddv_2D, ...
7681    printOperand(MI, 2, O);
7682    break;
7683  case 8:
7684    // BICvi_lsl_2S, BICvi_lsl_4H, BICvi_lsl_4S, BICvi_lsl_8H, ORRvi_lsl_2S, ...
7685    printUImmHexOperand(MI, 2, O);
7686    break;
7687  case 9:
7688    // CBNZw, CBNZx, CBZw, CBZx, LDRSWx_lit, LDRd_lit, LDRq_lit, LDRs_lit, LD...
7689    printLabelOperand(MI, 1, O, 19, 4);
7690    return;
7691    break;
7692  case 10:
7693    // FCMPdi_quiet, FCMPdi_sig, FCMPsi_quiet, FCMPsi_sig
7694    printFPZeroOperand(MI, 1, O);
7695    return;
7696    break;
7697  case 11:
7698    // FMOVdi, FMOVsi, FMOVvi_2D, FMOVvi_2S, FMOVvi_4S
7699    printFPImmOperand(MI, 1, O);
7700    return;
7701    break;
7702  case 12:
7703    // FMOVvx
7704    printBareImmOperand(MI, 2, O);
7705    SStream_concat(O, "], ");
7706    set_mem_access(MI, false);
7707    printOperand(MI, 1, O);
7708    return;
7709    break;
7710  case 13:
7711    // INSELd, INSdx
7712    printUImmBareOperand(MI, 3, O);
7713    SStream_concat(O, "], ");
7714    set_mem_access(MI, false);
7715    break;
7716  case 14:
7717    // MOVIdi, MOVIvi_2D
7718    printNeonUImm64MaskOperand(MI, 1, O);
7719    return;
7720    break;
7721  case 15:
7722    // MOVIvi_16B, MOVIvi_8B, MOVIvi_lsl_2S, MOVIvi_lsl_4H, MOVIvi_lsl_4S, MO...
7723    printUImmHexOperand(MI, 1, O);
7724    break;
7725  case 16:
7726    // MOVKwii, MOVKxii
7727    printMoveWideImmOperand(MI, 2, O);
7728    return;
7729    break;
7730  case 17:
7731    // MOVNwii, MOVNxii, MOVZwii, MOVZxii
7732    printMoveWideImmOperand(MI, 1, O);
7733    return;
7734    break;
7735  case 18:
7736    // MRSxi
7737    printMRSOperand(MI, 1, O);
7738    return;
7739    break;
7740  case 19:
7741    // PMULL2vvv_1q2d
7742    SStream_concat(O, ".2d, ");
7743    printVPRRegister(MI, 2, O);
7744    SStream_concat(O, ".2d");
7745    return;
7746    break;
7747  case 20:
7748    // PMULLvvv_1q1d
7749    SStream_concat(O, ".1d, ");
7750    printVPRRegister(MI, 2, O);
7751    SStream_concat(O, ".1d");
7752    return;
7753    break;
7754  case 21:
7755    // SYSiccix
7756    printCRxOperand(MI, 1, O);
7757    SStream_concat(O, ", ");
7758    printCRxOperand(MI, 2, O);
7759    SStream_concat(O, ", ");
7760    printOperand(MI, 3, O);
7761    SStream_concat(O, ", ");
7762    printOperand(MI, 4, O);
7763    return;
7764    break;
7765  case 22:
7766    // TBL1_16b, TBL1_8b
7767    printVectorList(MI, 1, O, A64Layout_VL_16B, 1, MRI);
7768    SStream_concat(O, ", ");
7769    printVPRRegister(MI, 2, O);
7770    break;
7771  case 23:
7772    // TBL2_16b, TBL2_8b
7773    printVectorList(MI, 1, O, A64Layout_VL_16B, 2, MRI);
7774    SStream_concat(O, ", ");
7775    printVPRRegister(MI, 2, O);
7776    break;
7777  case 24:
7778    // TBL3_16b, TBL3_8b
7779    printVectorList(MI, 1, O, A64Layout_VL_16B, 3, MRI);
7780    SStream_concat(O, ", ");
7781    printVPRRegister(MI, 2, O);
7782    break;
7783  case 25:
7784    // TBL4_16b, TBL4_8b
7785    printVectorList(MI, 1, O, A64Layout_VL_16B, 4, MRI);
7786    SStream_concat(O, ", ");
7787    printVPRRegister(MI, 2, O);
7788    break;
7789  case 26:
7790    // TBX1_16b, TBX1_8b
7791    printVectorList(MI, 2, O, A64Layout_VL_16B, 1, MRI);
7792    SStream_concat(O, ", ");
7793    printVPRRegister(MI, 3, O);
7794    break;
7795  case 27:
7796    // TBX2_16b, TBX2_8b
7797    printVectorList(MI, 2, O, A64Layout_VL_16B, 2, MRI);
7798    SStream_concat(O, ", ");
7799    printVPRRegister(MI, 3, O);
7800    break;
7801  case 28:
7802    // TBX3_16b, TBX3_8b
7803    printVectorList(MI, 2, O, A64Layout_VL_16B, 3, MRI);
7804    SStream_concat(O, ", ");
7805    printVPRRegister(MI, 3, O);
7806    break;
7807  case 29:
7808    // TBX4_16b, TBX4_8b
7809    printVectorList(MI, 2, O, A64Layout_VL_16B, 4, MRI);
7810    SStream_concat(O, ", ");
7811    printVPRRegister(MI, 3, O);
7812    break;
7813  }
7814
7815
7816  // Fragment 3 encoded into 5 bits for 30 unique commands.
7817  //printf("Frag-3: %"PRIu64"\n", (Bits >> 30) & 31);
7818  switch ((Bits >> 30) & 31) {
7819  default:   // unreachable.
7820  case 0:
7821    // ABS16b, ADDV_1b16b, AESD, AESE, AESIMC, AESMC, CLS16b, CLZ16b, CNT16b,...
7822    SStream_concat(O, ".16b");
7823    return;
7824    break;
7825  case 1:
7826    // ABS2d, ADDPvv_D_2D, FABS2d, FADDPvv_D_2D, FCVTAS_2d, FCVTAU_2d, FCVTMS...
7827    SStream_concat(O, ".2d");
7828    return;
7829    break;
7830  case 2:
7831    // ABS2s, CLS2s, CLZ2s, FABS2s, FADDPvv_S_2S, FCVTAS_2s, FCVTAU_2s, FCVTL...
7832    SStream_concat(O, ".2s");
7833    return;
7834    break;
7835  case 3:
7836    // ABS4h, ADDV_1h4h, CLS4h, CLZ4h, FCVTL4h4s, NEG4h, REV32_4h, REV64_4h, ...
7837    SStream_concat(O, ".4h");
7838    return;
7839    break;
7840  case 4:
7841    // ABS4s, ADDV_1s4s, CLS4s, CLZ4s, FABS4s, FCVTAS_4s, FCVTAU_4s, FCVTL4s2...
7842    SStream_concat(O, ".4s");
7843    return;
7844    break;
7845  case 5:
7846    // ABS8b, ADDV_1b8b, CLS8b, CLZ8b, CNT8b, NEG8b, NOT8b, RBIT8b, REV16_8b,...
7847    SStream_concat(O, ".8b");
7848    return;
7849    break;
7850  case 6:
7851    // ABS8h, ADDV_1h8h, CLS8h, CLZ8h, FCVTL8h4s, NEG8h, REV32_8h, REV64_8h, ...
7852    SStream_concat(O, ".8h");
7853    return;
7854    break;
7855  case 7:
7856    // ABSdd, CLSww, CLSxx, CLZww, CLZxx, DUP16b, DUP2d, DUP2s, DUP4h, DUP4s,...
7857    return;
7858    break;
7859  case 8:
7860    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADDSwww_ls...
7861    SStream_concat(O, ", ");
7862    break;
7863  case 9:
7864    // ADDHN2vvv_16b8h, ADDHNvvv_8b8h, ADDP_8H, ADDvvv_8H, CMEQvvi_8H, CMEQvv...
7865    SStream_concat(O, ".8h, ");
7866    break;
7867  case 10:
7868    // ADDHN2vvv_4s2d, ADDHNvvv_2s2d, ADDP_2D, ADDvvv_2D, CMEQvvi_2D, CMEQvvv...
7869    SStream_concat(O, ".2d, ");
7870    break;
7871  case 11:
7872    // ADDHN2vvv_8h4s, ADDHNvvv_4h4s, ADDP_4S, ADDvvv_4S, CMEQvvi_4S, CMEQvvv...
7873    SStream_concat(O, ".4s, ");
7874    break;
7875  case 12:
7876    // ADDP_16B, ADDvvv_16B, ANDvvv_16B, BICvvv_16B, BIFvvv_16B, BITvvv_16B, ...
7877    SStream_concat(O, ".16b, ");
7878    break;
7879  case 13:
7880    // ADDP_2S, ADDvvv_2S, CMEQvvi_2S, CMEQvvv_2S, CMGEvvi_2S, CMGEvvv_2S, CM...
7881    SStream_concat(O, ".2s, ");
7882    break;
7883  case 14:
7884    // ADDP_4H, ADDvvv_4H, CMEQvvi_4H, CMEQvvv_4H, CMGEvvi_4H, CMGEvvv_4H, CM...
7885    SStream_concat(O, ".4h, ");
7886    break;
7887  case 15:
7888    // ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv_8B, BITvvv_8B, BSLvvv...
7889    SStream_concat(O, ".8b, ");
7890    break;
7891  case 16:
7892    // BICvi_lsl_2S, BICvi_lsl_4S, ORRvi_lsl_2S, ORRvi_lsl_4S
7893    printNeonMovImmShiftOperand(MI, 3, O, A64SE_LSL, false);
7894    return;
7895    break;
7896  case 17:
7897    // BICvi_lsl_4H, BICvi_lsl_8H, ORRvi_lsl_4H, ORRvi_lsl_8H
7898    printNeonMovImmShiftOperand(MI, 3, O, A64SE_LSL, true);
7899    return;
7900    break;
7901  case 18:
7902    // DUPELT16b, DUPELT8b, DUPbv_B, INSELb, SMOVwb, SMOVxb, UMOVwb
7903    SStream_concat(O, ".b[");
7904    set_mem_access(MI, true);
7905    break;
7906  case 19:
7907    // DUPELT2d, DUPdv_D, FMOVxv, UMOVxd
7908    SStream_concat(O, ".d[");
7909    set_mem_access(MI, true);
7910    break;
7911  case 20:
7912    // DUPELT2s, DUPELT4s, DUPsv_S, INSELs, SMOVxs, UMOVws
7913    SStream_concat(O, ".s[");
7914    set_mem_access(MI, true);
7915    break;
7916  case 21:
7917    // DUPELT4h, DUPELT8h, DUPhv_H, INSELh, SMOVwh, SMOVxh, UMOVwh
7918    SStream_concat(O, ".h[");
7919    set_mem_access(MI, true);
7920    break;
7921  case 22:
7922    // INSELd
7923    printVPRRegister(MI, 2, O);
7924    SStream_concat(O, ".d[");
7925    set_mem_access(MI, true);
7926    printUImmBareOperand(MI, 4, O);
7927    SStream_concat(O, "]");
7928    set_mem_access(MI, false);
7929    return;
7930    break;
7931  case 23:
7932    // INSdx
7933    printOperand(MI, 2, O);
7934    return;
7935    break;
7936  case 24:
7937    // LDAR_byte, LDAR_dword, LDAR_hword, LDAR_word, LDAXR_byte, LDAXR_dword,...
7938    SStream_concat(O, "]");
7939    set_mem_access(MI, false);
7940    return;
7941    break;
7942  case 25:
7943    // LDAXP_dword, LDAXP_word, LDPSWx, LDPSWx_PostInd, LDPSWx_PreInd, LDXP_d...
7944    SStream_concat(O, ", [");
7945    set_mem_access(MI, true);
7946    break;
7947  case 26:
7948    // LDRSBw_PostInd, LDRSBx_PostInd, LDRSHw_PostInd, LDRSHx_PostInd, LDRSWx...
7949    SStream_concat(O, "], ");
7950    set_mem_access(MI, false);
7951    printOffsetSImm9Operand(MI, 3, O);
7952    return;
7953    break;
7954  case 27:
7955    // MOVIvi_lsl_2S, MOVIvi_lsl_4S, MVNIvi_lsl_2S, MVNIvi_lsl_4S
7956    printNeonMovImmShiftOperand(MI, 2, O, A64SE_LSL, false);
7957    return;
7958    break;
7959  case 28:
7960    // MOVIvi_lsl_4H, MOVIvi_lsl_8H, MVNIvi_lsl_4H, MVNIvi_lsl_8H
7961    printNeonMovImmShiftOperand(MI, 2, O, A64SE_LSL, true);
7962    return;
7963    break;
7964  case 29:
7965    // MOVIvi_msl_2S, MOVIvi_msl_4S, MVNIvi_msl_2S, MVNIvi_msl_4S
7966    printNeonMovImmShiftOperand(MI, 2, O, A64SE_MSL, false);
7967    return;
7968    break;
7969  }
7970
7971
7972  // Fragment 4 encoded into 6 bits for 39 unique commands.
7973  //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 63);
7974  switch ((Bits >> 35) & 63) {
7975  default:   // unreachable.
7976  case 0:
7977    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADDSwww_ls...
7978    printOperand(MI, 2, O);
7979    break;
7980  case 1:
7981    // ADDHN2vvv_16b8h, ADDHN2vvv_4s2d, ADDHN2vvv_8h4s, BIFvvv_16B, BIFvvv_8B...
7982    printVPRRegister(MI, 3, O);
7983    break;
7984  case 2:
7985    // ADDHNvvv_2s2d, ADDHNvvv_4h4s, ADDHNvvv_8b8h, ADDP_16B, ADDP_2D, ADDP_2...
7986    printVPRRegister(MI, 2, O);
7987    break;
7988  case 3:
7989    // ADDwwi_lsl0_S, ADDwwi_lsl0_s, ADDxxi_lsl0_S, ADDxxi_lsl0_s, SUBwwi_lsl...
7990    printAddSubImmLSL0Operand(MI, 2, O);
7991    return;
7992    break;
7993  case 4:
7994    // ADDwwi_lsl12_S, ADDwwi_lsl12_s, ADDxxi_lsl12_S, ADDxxi_lsl12_s, SUBwwi...
7995    printAddSubImmLSL12Operand(MI, 2, O);
7996    return;
7997    break;
7998  case 5:
7999    // ANDSwwi, ANDwwi, EORwwi, ORRwwi
8000    printLogicalImmOperand(MI, 2, O, 32);
8001    return;
8002    break;
8003  case 6:
8004    // ANDSxxi, ANDxxi, EORxxi, ORRxxi
8005    printLogicalImmOperand(MI, 2, O, 64);
8006    return;
8007    break;
8008  case 7:
8009    // BFIwwii
8010    printBFILSBOperand(MI, 3, O, 32);
8011    SStream_concat(O, ", ");
8012    printBFIWidthOperand(MI, 4, O);
8013    return;
8014    break;
8015  case 8:
8016    // BFIxxii
8017    printBFILSBOperand(MI, 3, O, 64);
8018    SStream_concat(O, ", ");
8019    printBFIWidthOperand(MI, 4, O);
8020    return;
8021    break;
8022  case 9:
8023    // BFMwwii, BFMxxii, BFXILwwii, BFXILxxii, LDPSWx_PostInd, LDPSWx_PreInd,...
8024    printOperand(MI, 3, O);
8025    break;
8026  case 10:
8027    // CMEQddi, CMEQvvi_16B, CMEQvvi_2D, CMEQvvi_2S, CMEQvvi_4H, CMEQvvi_4S, ...
8028    printNeonUImm0Operand(MI, 2, O);
8029    return;
8030    break;
8031  case 11:
8032    // CMNww_asr, CMNxx_asr, CMPww_asr, CMPxx_asr, MVNww_asr, MVNxx_asr, TSTw...
8033    printShiftOperand(MI, 2, O, A64SE_ASR);
8034    return;
8035    break;
8036  case 12:
8037    // CMNww_lsl, CMNxx_lsl, CMPww_lsl, CMPxx_lsl, MVNww_lsl, MVNxx_lsl, TSTw...
8038    printShiftOperand(MI, 2, O, A64SE_LSL);
8039    return;
8040    break;
8041  case 13:
8042    // CMNww_lsr, CMNxx_lsr, CMPww_lsr, CMPxx_lsr, MVNww_lsr, MVNxx_lsr, TSTw...
8043    printShiftOperand(MI, 2, O, A64SE_LSR);
8044    return;
8045    break;
8046  case 14:
8047    // CMNww_sxtb, CMNxw_sxtb, CMPww_sxtb, CMPxw_sxtb
8048    printRegExtendOperand(MI, 2, O, A64SE_SXTB);
8049    return;
8050    break;
8051  case 15:
8052    // CMNww_sxth, CMNxw_sxth, CMPww_sxth, CMPxw_sxth
8053    printRegExtendOperand(MI, 2, O, A64SE_SXTH);
8054    return;
8055    break;
8056  case 16:
8057    // CMNww_sxtw, CMNxw_sxtw, CMPww_sxtw, CMPxw_sxtw
8058    printRegExtendOperand(MI, 2, O, A64SE_SXTW);
8059    return;
8060    break;
8061  case 17:
8062    // CMNww_sxtx, CMNxx_sxtx, CMPww_sxtx, CMPxx_sxtx
8063    printRegExtendOperand(MI, 2, O, A64SE_SXTX);
8064    return;
8065    break;
8066  case 18:
8067    // CMNww_uxtb, CMNxw_uxtb, CMPww_uxtb, CMPxw_uxtb
8068    printRegExtendOperand(MI, 2, O, A64SE_UXTB);
8069    return;
8070    break;
8071  case 19:
8072    // CMNww_uxth, CMNxw_uxth, CMPww_uxth, CMPxw_uxth
8073    printRegExtendOperand(MI, 2, O, A64SE_UXTH);
8074    return;
8075    break;
8076  case 20:
8077    // CMNww_uxtw, CMNxw_uxtw, CMPww_uxtw, CMPxw_uxtw
8078    printRegExtendOperand(MI, 2, O, A64SE_UXTW);
8079    return;
8080    break;
8081  case 21:
8082    // CMNww_uxtx, CMNxx_uxtx, CMPww_uxtx, CMPxx_uxtx
8083    printRegExtendOperand(MI, 2, O, A64SE_UXTX);
8084    return;
8085    break;
8086  case 22:
8087    // DUPELT16b, DUPELT2d, DUPELT2s, DUPELT4h, DUPELT4s, DUPELT8b, DUPELT8h,...
8088    printUImmBareOperand(MI, 2, O);
8089    SStream_concat(O, "]");
8090    set_mem_access(MI, false);
8091    return;
8092    break;
8093  case 23:
8094    // FCMEQZddi, FCMEQZssi, FCMEQvvi_2D, FCMEQvvi_2S, FCMEQvvi_4S, FCMGEZddi...
8095    printFPZeroOperand(MI, 2, O);
8096    return;
8097    break;
8098  case 24:
8099    // FCVTZSwdi, FCVTZSwsi, FCVTZSxdi, FCVTZSxsi, FCVTZUwdi, FCVTZUwsi, FCVT...
8100    printCVTFixedPosOperand(MI, 2, O);
8101    return;
8102    break;
8103  case 25:
8104    // FMOVxv
8105    printBareImmOperand(MI, 2, O);
8106    SStream_concat(O, "]");
8107    set_mem_access(MI, false);
8108    return;
8109    break;
8110  case 26:
8111    // INSELb, INSELh, INSELs
8112    printUImmBareOperand(MI, 4, O);
8113    SStream_concat(O, "]");
8114    set_mem_access(MI, false);
8115    return;
8116    break;
8117  case 27:
8118    // LDRSBw, LDRSBx, LS8_LDR, LS8_STR, LSFP8_LDR, LSFP8_STR
8119    printOffsetUImm12Operand(MI, 2, O, 1);
8120    SStream_concat(O, "]");
8121    set_mem_access(MI, false);
8122    return;
8123    break;
8124  case 28:
8125    // LDRSBw_PreInd, LDRSBx_PreInd, LDRSHw_PreInd, LDRSHx_PreInd, LDRSWx_Pre...
8126    printOffsetSImm9Operand(MI, 3, O);
8127    SStream_concat(O, "]!");
8128    set_mem_access(MI, false);
8129    return;
8130    break;
8131  case 29:
8132    // LDRSBw_U, LDRSBx_U, LDRSHw_U, LDRSHx_U, LDTRSBw, LDTRSBx, LDTRSHw, LDT...
8133    printOffsetSImm9Operand(MI, 2, O);
8134    SStream_concat(O, "]");
8135    set_mem_access(MI, false);
8136    return;
8137    break;
8138  case 30:
8139    // LDRSHw, LDRSHx, LS16_LDR, LS16_STR, LSFP16_LDR, LSFP16_STR
8140    printOffsetUImm12Operand(MI, 2, O, 2);
8141    SStream_concat(O, "]");
8142    set_mem_access(MI, false);
8143    return;
8144    break;
8145  case 31:
8146    // LDRSWx, LS32_LDR, LS32_STR, LSFP32_LDR, LSFP32_STR
8147    printOffsetUImm12Operand(MI, 2, O, 4);
8148    SStream_concat(O, "]");
8149    set_mem_access(MI, false);
8150    return;
8151    break;
8152  case 32:
8153    // LS64_LDR, LS64_STR, LSFP64_LDR, LSFP64_STR, PRFM
8154    printOffsetUImm12Operand(MI, 2, O, 8);
8155    SStream_concat(O, "]");
8156    set_mem_access(MI, false);
8157    return;
8158    break;
8159  case 33:
8160    // LSFP128_LDR, LSFP128_STR
8161    printOffsetUImm12Operand(MI, 2, O, 16);
8162    SStream_concat(O, "]");
8163    set_mem_access(MI, false);
8164    return;
8165    break;
8166  case 34:
8167    // MVNww_ror, MVNxx_ror, TSTww_ror, TSTxx_ror
8168    printShiftOperand(MI, 2, O, A64SE_ROR);
8169    return;
8170    break;
8171  case 35:
8172    // SBFIZwwii, UBFIZwwii
8173    printBFILSBOperand(MI, 2, O, 32);
8174    SStream_concat(O, ", ");
8175    printBFIWidthOperand(MI, 3, O);
8176    return;
8177    break;
8178  case 36:
8179    // SBFIZxxii, UBFIZxxii
8180    printBFILSBOperand(MI, 2, O, 64);
8181    SStream_concat(O, ", ");
8182    printBFIWidthOperand(MI, 3, O);
8183    return;
8184    break;
8185  case 37:
8186    // SYSLxicci
8187    printCRxOperand(MI, 2, O);
8188    SStream_concat(O, ", ");
8189    printCRxOperand(MI, 3, O);
8190    SStream_concat(O, ", ");
8191    printOperand(MI, 4, O);
8192    return;
8193    break;
8194  case 38:
8195    // TBNZwii, TBNZxii, TBZwii, TBZxii
8196    printLabelOperand(MI, 2, O, 14, 4);
8197    return;
8198    break;
8199  }
8200
8201
8202  // Fragment 5 encoded into 5 bits for 17 unique commands.
8203  //printf("Frag-5: %"PRIu64"\n", (Bits >> 41) & 31);
8204  switch ((Bits >> 41) & 31) {
8205  default:   // unreachable.
8206  case 0:
8207    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDddd, ASRVwww, ASRVxxx, ASRwwi, AS...
8208    return;
8209    break;
8210  case 1:
8211    // ADDHN2vvv_16b8h, ADDHNvvv_8b8h, ADDP_8H, ADDvvv_8H, CMEQvvv_8H, CMGEvv...
8212    SStream_concat(O, ".8h");
8213    return;
8214    break;
8215  case 2:
8216    // ADDHN2vvv_4s2d, ADDHNvvv_2s2d, ADDP_2D, ADDvvv_2D, CMEQvvv_2D, CMGEvvv...
8217    SStream_concat(O, ".2d");
8218    return;
8219    break;
8220  case 3:
8221    // ADDHN2vvv_8h4s, ADDHNvvv_4h4s, ADDP_4S, ADDvvv_4S, CMEQvvv_4S, CMGEvvv...
8222    SStream_concat(O, ".4s");
8223    return;
8224    break;
8225  case 4:
8226    // ADDP_16B, ADDvvv_16B, ANDvvv_16B, BICvvv_16B, BIFvvv_16B, BITvvv_16B, ...
8227    SStream_concat(O, ".16b");
8228    return;
8229    break;
8230  case 5:
8231    // ADDP_2S, ADDvvv_2S, CMEQvvv_2S, CMGEvvv_2S, CMGTvvv_2S, CMHIvvv_2S, CM...
8232    SStream_concat(O, ".2s");
8233    return;
8234    break;
8235  case 6:
8236    // ADDP_4H, ADDvvv_4H, CMEQvvv_4H, CMGEvvv_4H, CMGTvvv_4H, CMHIvvv_4H, CM...
8237    SStream_concat(O, ".4h");
8238    return;
8239    break;
8240  case 7:
8241    // ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv_8B, BITvvv_8B, BSLvvv...
8242    SStream_concat(O, ".8b");
8243    return;
8244    break;
8245  case 8:
8246    // ADDSwww_asr, ADDSwww_lsl, ADDSwww_lsr, ADDSwww_sxtb, ADDSwww_sxth, ADD...
8247    SStream_concat(O, ", ");
8248    break;
8249  case 9:
8250    // EXTvvvi_16b
8251    SStream_concat(O, ".16b, ");
8252    printUImmHexOperand(MI, 3, O);
8253    return;
8254    break;
8255  case 10:
8256    // EXTvvvi_8b
8257    SStream_concat(O, ".8b, ");
8258    printUImmHexOperand(MI, 3, O);
8259    return;
8260    break;
8261  case 11:
8262    // FMLAddv_2D, FMLAvve_2d2d, FMLSddv_2D, FMLSvve_2d2d, FMULXddv_2D, FMULX...
8263    SStream_concat(O, ".d[");
8264    set_mem_access(MI, true);
8265    break;
8266  case 12:
8267    // FMLAssv_4S, FMLAvve_2s4s, FMLAvve_4s4s, FMLSssv_4S, FMLSvve_2s4s, FMLS...
8268    SStream_concat(O, ".s[");
8269    set_mem_access(MI, true);
8270    break;
8271  case 13:
8272    // LDAXP_dword, LDAXP_word, LDXP_dword, LDXP_word, STLXR_byte, STLXR_dwor...
8273    SStream_concat(O, "]");
8274    set_mem_access(MI, false);
8275    return;
8276    break;
8277  case 14:
8278    // LDPSWx_PostInd, LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFP...
8279    SStream_concat(O, "], ");
8280    set_mem_access(MI, false);
8281    break;
8282  case 15:
8283    // MLAvve_4h8h, MLAvve_8h8h, MLSvve_4h8h, MLSvve_8h8h, MULve_4h8h, MULve_...
8284    SStream_concat(O, ".h[");
8285    set_mem_access(MI, true);
8286    break;
8287  case 16:
8288    // STLXP_dword, STLXP_word, STXP_dword, STXP_word
8289    SStream_concat(O, ", [");
8290    set_mem_access(MI, true);
8291    printOperand(MI, 3, O);
8292    SStream_concat(O, "]");
8293    set_mem_access(MI, false);
8294    return;
8295    break;
8296  }
8297
8298
8299  // Fragment 6 encoded into 6 bits for 35 unique commands.
8300  //printf("Frag-6: %"PRIu64"\n", (Bits >> 46) & 63);
8301  switch ((Bits >> 46) & 63) {
8302  default:   // unreachable.
8303  case 0:
8304    // ADDSwww_asr, ADDSxxx_asr, ADDwww_asr, ADDxxx_asr, ANDSwww_asr, ANDSxxx...
8305    printShiftOperand(MI, 3, O, A64SE_ASR);
8306    return;
8307    break;
8308  case 1:
8309    // ADDSwww_lsl, ADDSxxx_lsl, ADDwww_lsl, ADDxxx_lsl, ANDSwww_lsl, ANDSxxx...
8310    printShiftOperand(MI, 3, O, A64SE_LSL);
8311    return;
8312    break;
8313  case 2:
8314    // ADDSwww_lsr, ADDSxxx_lsr, ADDwww_lsr, ADDxxx_lsr, ANDSwww_lsr, ANDSxxx...
8315    printShiftOperand(MI, 3, O, A64SE_LSR);
8316    return;
8317    break;
8318  case 3:
8319    // ADDSwww_sxtb, ADDSxxw_sxtb, ADDwww_sxtb, ADDxxw_sxtb, SUBSwww_sxtb, SU...
8320    printRegExtendOperand(MI, 3, O, A64SE_SXTB);
8321    return;
8322    break;
8323  case 4:
8324    // ADDSwww_sxth, ADDSxxw_sxth, ADDwww_sxth, ADDxxw_sxth, SUBSwww_sxth, SU...
8325    printRegExtendOperand(MI, 3, O, A64SE_SXTH);
8326    return;
8327    break;
8328  case 5:
8329    // ADDSwww_sxtw, ADDSxxw_sxtw, ADDwww_sxtw, ADDxxw_sxtw, SUBSwww_sxtw, SU...
8330    printRegExtendOperand(MI, 3, O, A64SE_SXTW);
8331    return;
8332    break;
8333  case 6:
8334    // ADDSwww_sxtx, ADDSxxx_sxtx, ADDwww_sxtx, ADDxxx_sxtx, SUBSwww_sxtx, SU...
8335    printRegExtendOperand(MI, 3, O, A64SE_SXTX);
8336    return;
8337    break;
8338  case 7:
8339    // ADDSwww_uxtb, ADDSxxw_uxtb, ADDwww_uxtb, ADDxxw_uxtb, SUBSwww_uxtb, SU...
8340    printRegExtendOperand(MI, 3, O, A64SE_UXTB);
8341    return;
8342    break;
8343  case 8:
8344    // ADDSwww_uxth, ADDSxxw_uxth, ADDwww_uxth, ADDxxw_uxth, SUBSwww_uxth, SU...
8345    printRegExtendOperand(MI, 3, O, A64SE_UXTH);
8346    return;
8347    break;
8348  case 9:
8349    // ADDSwww_uxtw, ADDSxxw_uxtw, ADDwww_uxtw, ADDxxw_uxtw, SUBSwww_uxtw, SU...
8350    printRegExtendOperand(MI, 3, O, A64SE_UXTW);
8351    return;
8352    break;
8353  case 10:
8354    // ADDSwww_uxtx, ADDSxxx_uxtx, ADDwww_uxtx, ADDxxx_uxtx, SUBSwww_uxtx, SU...
8355    printRegExtendOperand(MI, 3, O, A64SE_UXTX);
8356    return;
8357    break;
8358  case 11:
8359    // ANDSwww_ror, ANDSxxx_ror, ANDwww_ror, ANDxxx_ror, BICSwww_ror, BICSxxx...
8360    printShiftOperand(MI, 3, O, A64SE_ROR);
8361    return;
8362    break;
8363  case 12:
8364    // BFMwwii, BFMxxii
8365    printOperand(MI, 4, O);
8366    return;
8367    break;
8368  case 13:
8369    // BFXILwwii, BFXILxxii
8370    printBFXWidthOperand(MI, 4, O);
8371    return;
8372    break;
8373  case 14:
8374    // CCMNwi, CCMNww, CCMNxi, CCMNxx, CCMPwi, CCMPww, CCMPxi, CCMPxx, CSELww...
8375    printCondCodeOperand(MI, 3, O);
8376    return;
8377    break;
8378  case 15:
8379    // EXTRwwwi, EXTRxxxi, FMADDdddd, FMADDssss, FMSUBdddd, FMSUBssss, FNMADD...
8380    printOperand(MI, 3, O);
8381    return;
8382    break;
8383  case 16:
8384    // FMLAddv_2D, FMLAssv_4S, FMLAvve_2d2d, FMLAvve_2s4s, FMLAvve_4s4s, FMLS...
8385    printUImmBareOperand(MI, 4, O);
8386    SStream_concat(O, "]");
8387    set_mem_access(MI, false);
8388    return;
8389    break;
8390  case 17:
8391    // FMULXddv_2D, FMULXssv_4S, FMULXve_2d2d, FMULXve_2s4s, FMULXve_4s4s, FM...
8392    printUImmBareOperand(MI, 3, O);
8393    SStream_concat(O, "]");
8394    set_mem_access(MI, false);
8395    return;
8396    break;
8397  case 18:
8398    // LDPSWx, LSFPPair32_LDR, LSFPPair32_NonTemp_LDR, LSFPPair32_NonTemp_STR...
8399    printSImm7ScaledOperand(MI, 3, O, 4);
8400    SStream_concat(O, "]");
8401    set_mem_access(MI, false);
8402    return;
8403    break;
8404  case 19:
8405    // LDPSWx_PostInd, LDPSWx_PreInd, LSFPPair32_PostInd_LDR, LSFPPair32_Post...
8406    printSImm7ScaledOperand(MI, 4, O, 4);
8407    break;
8408  case 20:
8409    // LDRSBw_Wm_RegOffset, LDRSBx_Wm_RegOffset, LS8_Wm_RegOffset_LDR, LS8_Wm...
8410    printAddrRegExtendOperand(MI, 3, O, 1, 32);
8411    SStream_concat(O, "]");
8412    set_mem_access(MI, false);
8413    return;
8414    break;
8415  case 21:
8416    // LDRSBw_Xm_RegOffset, LDRSBx_Xm_RegOffset, LS8_Xm_RegOffset_LDR, LS8_Xm...
8417    printAddrRegExtendOperand(MI, 3, O, 1, 64);
8418    SStream_concat(O, "]");
8419    set_mem_access(MI, false);
8420    return;
8421    break;
8422  case 22:
8423    // LDRSHw_Wm_RegOffset, LDRSHx_Wm_RegOffset, LS16_Wm_RegOffset_LDR, LS16_...
8424    printAddrRegExtendOperand(MI, 3, O, 2, 32);
8425    SStream_concat(O, "]");
8426    set_mem_access(MI, false);
8427    return;
8428    break;
8429  case 23:
8430    // LDRSHw_Xm_RegOffset, LDRSHx_Xm_RegOffset, LS16_Xm_RegOffset_LDR, LS16_...
8431    printAddrRegExtendOperand(MI, 3, O, 2, 64);
8432    SStream_concat(O, "]");
8433    set_mem_access(MI, false);
8434    return;
8435    break;
8436  case 24:
8437    // LDRSWx_Wm_RegOffset, LS32_Wm_RegOffset_LDR, LS32_Wm_RegOffset_STR, LSF...
8438    printAddrRegExtendOperand(MI, 3, O, 4, 32);
8439    SStream_concat(O, "]");
8440    set_mem_access(MI, false);
8441    return;
8442    break;
8443  case 25:
8444    // LDRSWx_Xm_RegOffset, LS32_Xm_RegOffset_LDR, LS32_Xm_RegOffset_STR, LSF...
8445    printAddrRegExtendOperand(MI, 3, O, 4, 64);
8446    SStream_concat(O, "]");
8447    set_mem_access(MI, false);
8448    return;
8449    break;
8450  case 26:
8451    // LS64_Wm_RegOffset_LDR, LS64_Wm_RegOffset_STR, LSFP64_Wm_RegOffset_LDR,...
8452    printAddrRegExtendOperand(MI, 3, O, 8, 32);
8453    SStream_concat(O, "]");
8454    set_mem_access(MI, false);
8455    return;
8456    break;
8457  case 27:
8458    // LS64_Xm_RegOffset_LDR, LS64_Xm_RegOffset_STR, LSFP64_Xm_RegOffset_LDR,...
8459    printAddrRegExtendOperand(MI, 3, O, 8, 64);
8460    SStream_concat(O, "]");
8461    set_mem_access(MI, false);
8462    return;
8463    break;
8464  case 28:
8465    // LSFP128_Wm_RegOffset_LDR, LSFP128_Wm_RegOffset_STR
8466    printAddrRegExtendOperand(MI, 3, O, 16, 32);
8467    SStream_concat(O, "]");
8468    set_mem_access(MI, false);
8469    return;
8470    break;
8471  case 29:
8472    // LSFP128_Xm_RegOffset_LDR, LSFP128_Xm_RegOffset_STR
8473    printAddrRegExtendOperand(MI, 3, O, 16, 64);
8474    SStream_concat(O, "]");
8475    set_mem_access(MI, false);
8476    return;
8477    break;
8478  case 30:
8479    // LSFPPair128_LDR, LSFPPair128_NonTemp_LDR, LSFPPair128_NonTemp_STR, LSF...
8480    printSImm7ScaledOperand(MI, 3, O, 16);
8481    SStream_concat(O, "]");
8482    set_mem_access(MI, false);
8483    return;
8484    break;
8485  case 31:
8486    // LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFPPair128_PreInd_L...
8487    printSImm7ScaledOperand(MI, 4, O, 16);
8488    break;
8489  case 32:
8490    // LSFPPair64_LDR, LSFPPair64_NonTemp_LDR, LSFPPair64_NonTemp_STR, LSFPPa...
8491    printSImm7ScaledOperand(MI, 3, O, 8);
8492    SStream_concat(O, "]");
8493    set_mem_access(MI, false);
8494    return;
8495    break;
8496  case 33:
8497    // LSFPPair64_PostInd_LDR, LSFPPair64_PostInd_STR, LSFPPair64_PreInd_LDR,...
8498    printSImm7ScaledOperand(MI, 4, O, 8);
8499    break;
8500  case 34:
8501    // SBFXwwii, SBFXxxii, UBFXwwii, UBFXxxii
8502    printBFXWidthOperand(MI, 3, O);
8503    return;
8504    break;
8505  }
8506
8507
8508  // Fragment 7 encoded into 1 bits for 2 unique commands.
8509  //printf("Frag-7: %"PRIu64"\n", (Bits >> 52) & 1);
8510  if ((Bits >> 52) & 1) {
8511    // LDPSWx_PreInd, LSFPPair128_PreInd_LDR, LSFPPair128_PreInd_STR, LSFPPai...
8512    SStream_concat(O, "]!");
8513    set_mem_access(MI, false);
8514    return;
8515  } else {
8516    // LDPSWx_PostInd, LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFP...
8517    return;
8518  }
8519
8520}
8521
8522
8523/// getRegisterName - This method is automatically generated by tblgen
8524/// from the register set description.  This returns the assembler name
8525/// for the specified register.
8526static char *getRegisterName(unsigned RegNo)
8527{
8528  // assert(RegNo && RegNo < 420 && "Invalid register number!");
8529
8530#ifndef CAPSTONE_DIET
8531  static char AsmStrs[] = {
8532  /* 0 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', '_', 'D', '1', '0', 0,
8533  /* 13 */ 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', 0,
8534  /* 26 */ 'b', '1', '0', 0,
8535  /* 30 */ 'd', '1', '0', 0,
8536  /* 34 */ 'h', '1', '0', 0,
8537  /* 38 */ 'q', '1', '0', 0,
8538  /* 42 */ 's', '1', '0', 0,
8539  /* 46 */ 'w', '1', '0', 0,
8540  /* 50 */ 'x', '1', '0', 0,
8541  /* 54 */ 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', '_', 'D', '2', '0', 0,
8542  /* 70 */ 'Q', '1', '7', '_', 'Q', '1', '8', '_', 'Q', '1', '9', '_', 'Q', '2', '0', 0,
8543  /* 86 */ 'b', '2', '0', 0,
8544  /* 90 */ 'd', '2', '0', 0,
8545  /* 94 */ 'h', '2', '0', 0,
8546  /* 98 */ 'q', '2', '0', 0,
8547  /* 102 */ 's', '2', '0', 0,
8548  /* 106 */ 'w', '2', '0', 0,
8549  /* 110 */ 'x', '2', '0', 0,
8550  /* 114 */ 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', '_', 'D', '3', '0', 0,
8551  /* 130 */ 'Q', '2', '7', '_', 'Q', '2', '8', '_', 'Q', '2', '9', '_', 'Q', '3', '0', 0,
8552  /* 146 */ 'b', '3', '0', 0,
8553  /* 150 */ 'd', '3', '0', 0,
8554  /* 154 */ 'h', '3', '0', 0,
8555  /* 158 */ 'q', '3', '0', 0,
8556  /* 162 */ 's', '3', '0', 0,
8557  /* 166 */ 'w', '3', '0', 0,
8558  /* 170 */ 'x', '3', '0', 0,
8559  /* 174 */ 'D', '2', '9', '_', 'D', '3', '0', '_', 'D', '3', '1', '_', 'D', '0', 0,
8560  /* 189 */ 'Q', '2', '9', '_', 'Q', '3', '0', '_', 'Q', '3', '1', '_', 'Q', '0', 0,
8561  /* 204 */ 'b', '0', 0,
8562  /* 207 */ 'd', '0', 0,
8563  /* 210 */ 'h', '0', 0,
8564  /* 213 */ 'q', '0', 0,
8565  /* 216 */ 's', '0', 0,
8566  /* 219 */ 'w', '0', 0,
8567  /* 222 */ 'x', '0', 0,
8568  /* 225 */ 'D', '8', '_', 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', 0,
8569  /* 239 */ 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', 0,
8570  /* 253 */ 'b', '1', '1', 0,
8571  /* 257 */ 'd', '1', '1', 0,
8572  /* 261 */ 'h', '1', '1', 0,
8573  /* 265 */ 'q', '1', '1', 0,
8574  /* 269 */ 's', '1', '1', 0,
8575  /* 273 */ 'w', '1', '1', 0,
8576  /* 277 */ 'x', '1', '1', 0,
8577  /* 281 */ 'D', '1', '8', '_', 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', 0,
8578  /* 297 */ 'Q', '1', '8', '_', 'Q', '1', '9', '_', 'Q', '2', '0', '_', 'Q', '2', '1', 0,
8579  /* 313 */ 'b', '2', '1', 0,
8580  /* 317 */ 'd', '2', '1', 0,
8581  /* 321 */ 'h', '2', '1', 0,
8582  /* 325 */ 'q', '2', '1', 0,
8583  /* 329 */ 's', '2', '1', 0,
8584  /* 333 */ 'w', '2', '1', 0,
8585  /* 337 */ 'x', '2', '1', 0,
8586  /* 341 */ 'D', '2', '8', '_', 'D', '2', '9', '_', 'D', '3', '0', '_', 'D', '3', '1', 0,
8587  /* 357 */ 'Q', '2', '8', '_', 'Q', '2', '9', '_', 'Q', '3', '0', '_', 'Q', '3', '1', 0,
8588  /* 373 */ 'b', '3', '1', 0,
8589  /* 377 */ 'd', '3', '1', 0,
8590  /* 381 */ 'h', '3', '1', 0,
8591  /* 385 */ 'q', '3', '1', 0,
8592  /* 389 */ 's', '3', '1', 0,
8593  /* 393 */ 'D', '3', '0', '_', 'D', '3', '1', '_', 'D', '0', '_', 'D', '1', 0,
8594  /* 407 */ 'Q', '3', '0', '_', 'Q', '3', '1', '_', 'Q', '0', '_', 'Q', '1', 0,
8595  /* 421 */ 'b', '1', 0,
8596  /* 424 */ 'd', '1', 0,
8597  /* 427 */ 'h', '1', 0,
8598  /* 430 */ 'q', '1', 0,
8599  /* 433 */ 's', '1', 0,
8600  /* 436 */ 'w', '1', 0,
8601  /* 439 */ 'x', '1', 0,
8602  /* 442 */ 'D', '9', '_', 'D', '1', '0', '_', 'D', '1', '1', '_', 'D', '1', '2', 0,
8603  /* 457 */ 'Q', '9', '_', 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', 0,
8604  /* 472 */ 'b', '1', '2', 0,
8605  /* 476 */ 'd', '1', '2', 0,
8606  /* 480 */ 'h', '1', '2', 0,
8607  /* 484 */ 'q', '1', '2', 0,
8608  /* 488 */ 's', '1', '2', 0,
8609  /* 492 */ 'w', '1', '2', 0,
8610  /* 496 */ 'x', '1', '2', 0,
8611  /* 500 */ 'D', '1', '9', '_', 'D', '2', '0', '_', 'D', '2', '1', '_', 'D', '2', '2', 0,
8612  /* 516 */ 'Q', '1', '9', '_', 'Q', '2', '0', '_', 'Q', '2', '1', '_', 'Q', '2', '2', 0,
8613  /* 532 */ 'b', '2', '2', 0,
8614  /* 536 */ 'd', '2', '2', 0,
8615  /* 540 */ 'h', '2', '2', 0,
8616  /* 544 */ 'q', '2', '2', 0,
8617  /* 548 */ 's', '2', '2', 0,
8618  /* 552 */ 'w', '2', '2', 0,
8619  /* 556 */ 'x', '2', '2', 0,
8620  /* 560 */ 'D', '3', '1', '_', 'D', '0', '_', 'D', '1', '_', 'D', '2', 0,
8621  /* 573 */ 'Q', '3', '1', '_', 'Q', '0', '_', 'Q', '1', '_', 'Q', '2', 0,
8622  /* 586 */ 'b', '2', 0,
8623  /* 589 */ 'd', '2', 0,
8624  /* 592 */ 'h', '2', 0,
8625  /* 595 */ 'q', '2', 0,
8626  /* 598 */ 's', '2', 0,
8627  /* 601 */ 'w', '2', 0,
8628  /* 604 */ 'x', '2', 0,
8629  /* 607 */ 'D', '1', '0', '_', 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', 0,
8630  /* 623 */ 'Q', '1', '0', '_', 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', 0,
8631  /* 639 */ 'b', '1', '3', 0,
8632  /* 643 */ 'd', '1', '3', 0,
8633  /* 647 */ 'h', '1', '3', 0,
8634  /* 651 */ 'q', '1', '3', 0,
8635  /* 655 */ 's', '1', '3', 0,
8636  /* 659 */ 'w', '1', '3', 0,
8637  /* 663 */ 'x', '1', '3', 0,
8638  /* 667 */ 'D', '2', '0', '_', 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', 0,
8639  /* 683 */ 'Q', '2', '0', '_', 'Q', '2', '1', '_', 'Q', '2', '2', '_', 'Q', '2', '3', 0,
8640  /* 699 */ 'b', '2', '3', 0,
8641  /* 703 */ 'd', '2', '3', 0,
8642  /* 707 */ 'h', '2', '3', 0,
8643  /* 711 */ 'q', '2', '3', 0,
8644  /* 715 */ 's', '2', '3', 0,
8645  /* 719 */ 'w', '2', '3', 0,
8646  /* 723 */ 'x', '2', '3', 0,
8647  /* 727 */ 'D', '0', '_', 'D', '1', '_', 'D', '2', '_', 'D', '3', 0,
8648  /* 739 */ 'Q', '0', '_', 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', 0,
8649  /* 751 */ 'b', '3', 0,
8650  /* 754 */ 'd', '3', 0,
8651  /* 757 */ 'h', '3', 0,
8652  /* 760 */ 'q', '3', 0,
8653  /* 763 */ 's', '3', 0,
8654  /* 766 */ 'w', '3', 0,
8655  /* 769 */ 'x', '3', 0,
8656  /* 772 */ 'D', '1', '1', '_', 'D', '1', '2', '_', 'D', '1', '3', '_', 'D', '1', '4', 0,
8657  /* 788 */ 'Q', '1', '1', '_', 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', 0,
8658  /* 804 */ 'b', '1', '4', 0,
8659  /* 808 */ 'd', '1', '4', 0,
8660  /* 812 */ 'h', '1', '4', 0,
8661  /* 816 */ 'q', '1', '4', 0,
8662  /* 820 */ 's', '1', '4', 0,
8663  /* 824 */ 'w', '1', '4', 0,
8664  /* 828 */ 'x', '1', '4', 0,
8665  /* 832 */ 'D', '2', '1', '_', 'D', '2', '2', '_', 'D', '2', '3', '_', 'D', '2', '4', 0,
8666  /* 848 */ 'Q', '2', '1', '_', 'Q', '2', '2', '_', 'Q', '2', '3', '_', 'Q', '2', '4', 0,
8667  /* 864 */ 'b', '2', '4', 0,
8668  /* 868 */ 'd', '2', '4', 0,
8669  /* 872 */ 'h', '2', '4', 0,
8670  /* 876 */ 'q', '2', '4', 0,
8671  /* 880 */ 's', '2', '4', 0,
8672  /* 884 */ 'w', '2', '4', 0,
8673  /* 888 */ 'x', '2', '4', 0,
8674  /* 892 */ 'D', '1', '_', 'D', '2', '_', 'D', '3', '_', 'D', '4', 0,
8675  /* 904 */ 'Q', '1', '_', 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', 0,
8676  /* 916 */ 'b', '4', 0,
8677  /* 919 */ 'd', '4', 0,
8678  /* 922 */ 'h', '4', 0,
8679  /* 925 */ 'q', '4', 0,
8680  /* 928 */ 's', '4', 0,
8681  /* 931 */ 'w', '4', 0,
8682  /* 934 */ 'x', '4', 0,
8683  /* 937 */ 'D', '1', '2', '_', 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', 0,
8684  /* 953 */ 'Q', '1', '2', '_', 'Q', '1', '3', '_', 'Q', '1', '4', '_', 'Q', '1', '5', 0,
8685  /* 969 */ 'b', '1', '5', 0,
8686  /* 973 */ 'd', '1', '5', 0,
8687  /* 977 */ 'h', '1', '5', 0,
8688  /* 981 */ 'q', '1', '5', 0,
8689  /* 985 */ 's', '1', '5', 0,
8690  /* 989 */ 'w', '1', '5', 0,
8691  /* 993 */ 'x', '1', '5', 0,
8692  /* 997 */ 'D', '2', '2', '_', 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', 0,
8693  /* 1013 */ 'Q', '2', '2', '_', 'Q', '2', '3', '_', 'Q', '2', '4', '_', 'Q', '2', '5', 0,
8694  /* 1029 */ 'b', '2', '5', 0,
8695  /* 1033 */ 'd', '2', '5', 0,
8696  /* 1037 */ 'h', '2', '5', 0,
8697  /* 1041 */ 'q', '2', '5', 0,
8698  /* 1045 */ 's', '2', '5', 0,
8699  /* 1049 */ 'w', '2', '5', 0,
8700  /* 1053 */ 'x', '2', '5', 0,
8701  /* 1057 */ 'D', '2', '_', 'D', '3', '_', 'D', '4', '_', 'D', '5', 0,
8702  /* 1069 */ 'Q', '2', '_', 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', 0,
8703  /* 1081 */ 'b', '5', 0,
8704  /* 1084 */ 'd', '5', 0,
8705  /* 1087 */ 'h', '5', 0,
8706  /* 1090 */ 'q', '5', 0,
8707  /* 1093 */ 's', '5', 0,
8708  /* 1096 */ 'w', '5', 0,
8709  /* 1099 */ 'x', '5', 0,
8710  /* 1102 */ 'D', '1', '3', '_', 'D', '1', '4', '_', 'D', '1', '5', '_', 'D', '1', '6', 0,
8711  /* 1118 */ 'Q', '1', '3', '_', 'Q', '1', '4', '_', 'Q', '1', '5', '_', 'Q', '1', '6', 0,
8712  /* 1134 */ 'b', '1', '6', 0,
8713  /* 1138 */ 'd', '1', '6', 0,
8714  /* 1142 */ 'h', '1', '6', 0,
8715  /* 1146 */ 'q', '1', '6', 0,
8716  /* 1150 */ 's', '1', '6', 0,
8717  /* 1154 */ 'w', '1', '6', 0,
8718  /* 1158 */ 'x', '1', '6', 0,
8719  /* 1162 */ 'D', '2', '3', '_', 'D', '2', '4', '_', 'D', '2', '5', '_', 'D', '2', '6', 0,
8720  /* 1178 */ 'Q', '2', '3', '_', 'Q', '2', '4', '_', 'Q', '2', '5', '_', 'Q', '2', '6', 0,
8721  /* 1194 */ 'b', '2', '6', 0,
8722  /* 1198 */ 'd', '2', '6', 0,
8723  /* 1202 */ 'h', '2', '6', 0,
8724  /* 1206 */ 'q', '2', '6', 0,
8725  /* 1210 */ 's', '2', '6', 0,
8726  /* 1214 */ 'w', '2', '6', 0,
8727  /* 1218 */ 'x', '2', '6', 0,
8728  /* 1222 */ 'D', '3', '_', 'D', '4', '_', 'D', '5', '_', 'D', '6', 0,
8729  /* 1234 */ 'Q', '3', '_', 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', 0,
8730  /* 1246 */ 'b', '6', 0,
8731  /* 1249 */ 'd', '6', 0,
8732  /* 1252 */ 'h', '6', 0,
8733  /* 1255 */ 'q', '6', 0,
8734  /* 1258 */ 's', '6', 0,
8735  /* 1261 */ 'w', '6', 0,
8736  /* 1264 */ 'x', '6', 0,
8737  /* 1267 */ 'D', '1', '4', '_', 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', 0,
8738  /* 1283 */ 'Q', '1', '4', '_', 'Q', '1', '5', '_', 'Q', '1', '6', '_', 'Q', '1', '7', 0,
8739  /* 1299 */ 'b', '1', '7', 0,
8740  /* 1303 */ 'd', '1', '7', 0,
8741  /* 1307 */ 'h', '1', '7', 0,
8742  /* 1311 */ 'q', '1', '7', 0,
8743  /* 1315 */ 's', '1', '7', 0,
8744  /* 1319 */ 'w', '1', '7', 0,
8745  /* 1323 */ 'x', '1', '7', 0,
8746  /* 1327 */ 'D', '2', '4', '_', 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', 0,
8747  /* 1343 */ 'Q', '2', '4', '_', 'Q', '2', '5', '_', 'Q', '2', '6', '_', 'Q', '2', '7', 0,
8748  /* 1359 */ 'b', '2', '7', 0,
8749  /* 1363 */ 'd', '2', '7', 0,
8750  /* 1367 */ 'h', '2', '7', 0,
8751  /* 1371 */ 'q', '2', '7', 0,
8752  /* 1375 */ 's', '2', '7', 0,
8753  /* 1379 */ 'w', '2', '7', 0,
8754  /* 1383 */ 'x', '2', '7', 0,
8755  /* 1387 */ 'D', '4', '_', 'D', '5', '_', 'D', '6', '_', 'D', '7', 0,
8756  /* 1399 */ 'Q', '4', '_', 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', 0,
8757  /* 1411 */ 'b', '7', 0,
8758  /* 1414 */ 'd', '7', 0,
8759  /* 1417 */ 'h', '7', 0,
8760  /* 1420 */ 'q', '7', 0,
8761  /* 1423 */ 's', '7', 0,
8762  /* 1426 */ 'w', '7', 0,
8763  /* 1429 */ 'x', '7', 0,
8764  /* 1432 */ 'D', '1', '5', '_', 'D', '1', '6', '_', 'D', '1', '7', '_', 'D', '1', '8', 0,
8765  /* 1448 */ 'Q', '1', '5', '_', 'Q', '1', '6', '_', 'Q', '1', '7', '_', 'Q', '1', '8', 0,
8766  /* 1464 */ 'b', '1', '8', 0,
8767  /* 1468 */ 'd', '1', '8', 0,
8768  /* 1472 */ 'h', '1', '8', 0,
8769  /* 1476 */ 'q', '1', '8', 0,
8770  /* 1480 */ 's', '1', '8', 0,
8771  /* 1484 */ 'w', '1', '8', 0,
8772  /* 1488 */ 'x', '1', '8', 0,
8773  /* 1492 */ 'D', '2', '5', '_', 'D', '2', '6', '_', 'D', '2', '7', '_', 'D', '2', '8', 0,
8774  /* 1508 */ 'Q', '2', '5', '_', 'Q', '2', '6', '_', 'Q', '2', '7', '_', 'Q', '2', '8', 0,
8775  /* 1524 */ 'b', '2', '8', 0,
8776  /* 1528 */ 'd', '2', '8', 0,
8777  /* 1532 */ 'h', '2', '8', 0,
8778  /* 1536 */ 'q', '2', '8', 0,
8779  /* 1540 */ 's', '2', '8', 0,
8780  /* 1544 */ 'w', '2', '8', 0,
8781  /* 1548 */ 'x', '2', '8', 0,
8782  /* 1552 */ 'D', '5', '_', 'D', '6', '_', 'D', '7', '_', 'D', '8', 0,
8783  /* 1564 */ 'Q', '5', '_', 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', 0,
8784  /* 1576 */ 'b', '8', 0,
8785  /* 1579 */ 'd', '8', 0,
8786  /* 1582 */ 'h', '8', 0,
8787  /* 1585 */ 'q', '8', 0,
8788  /* 1588 */ 's', '8', 0,
8789  /* 1591 */ 'w', '8', 0,
8790  /* 1594 */ 'x', '8', 0,
8791  /* 1597 */ 'D', '1', '6', '_', 'D', '1', '7', '_', 'D', '1', '8', '_', 'D', '1', '9', 0,
8792  /* 1613 */ 'Q', '1', '6', '_', 'Q', '1', '7', '_', 'Q', '1', '8', '_', 'Q', '1', '9', 0,
8793  /* 1629 */ 'b', '1', '9', 0,
8794  /* 1633 */ 'd', '1', '9', 0,
8795  /* 1637 */ 'h', '1', '9', 0,
8796  /* 1641 */ 'q', '1', '9', 0,
8797  /* 1645 */ 's', '1', '9', 0,
8798  /* 1649 */ 'w', '1', '9', 0,
8799  /* 1653 */ 'x', '1', '9', 0,
8800  /* 1657 */ 'D', '2', '6', '_', 'D', '2', '7', '_', 'D', '2', '8', '_', 'D', '2', '9', 0,
8801  /* 1673 */ 'Q', '2', '6', '_', 'Q', '2', '7', '_', 'Q', '2', '8', '_', 'Q', '2', '9', 0,
8802  /* 1689 */ 'b', '2', '9', 0,
8803  /* 1693 */ 'd', '2', '9', 0,
8804  /* 1697 */ 'h', '2', '9', 0,
8805  /* 1701 */ 'q', '2', '9', 0,
8806  /* 1705 */ 's', '2', '9', 0,
8807  /* 1709 */ 'w', '2', '9', 0,
8808  /* 1713 */ 'x', '2', '9', 0,
8809  /* 1717 */ 'D', '6', '_', 'D', '7', '_', 'D', '8', '_', 'D', '9', 0,
8810  /* 1729 */ 'Q', '6', '_', 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', 0,
8811  /* 1741 */ 'b', '9', 0,
8812  /* 1744 */ 'd', '9', 0,
8813  /* 1747 */ 'h', '9', 0,
8814  /* 1750 */ 'q', '9', 0,
8815  /* 1753 */ 's', '9', 0,
8816  /* 1756 */ 'w', '9', 0,
8817  /* 1759 */ 'x', '9', 0,
8818  /* 1762 */ 'w', 's', 'p', 0,
8819  /* 1766 */ 'w', 'z', 'r', 0,
8820  /* 1770 */ 'x', 'z', 'r', 0,
8821  /* 1774 */ 'n', 'z', 'c', 'v', 0,
8822  };
8823
8824  static const uint32_t RegAsmOffset[] = {
8825    1774, 1762, 1766, 1763, 1770, 204, 421, 586, 751, 916, 1081, 1246, 1411, 1576,
8826    1741, 26, 253, 472, 639, 804, 969, 1134, 1299, 1464, 1629, 86, 313, 532,
8827    699, 864, 1029, 1194, 1359, 1524, 1689, 146, 373, 207, 424, 589, 754, 919,
8828    1084, 1249, 1414, 1579, 1744, 30, 257, 476, 643, 808, 973, 1138, 1303, 1468,
8829    1633, 90, 317, 536, 703, 868, 1033, 1198, 1363, 1528, 1693, 150, 377, 210,
8830    427, 592, 757, 922, 1087, 1252, 1417, 1582, 1747, 34, 261, 480, 647, 812,
8831    977, 1142, 1307, 1472, 1637, 94, 321, 540, 707, 872, 1037, 1202, 1367, 1532,
8832    1697, 154, 381, 213, 430, 595, 760, 925, 1090, 1255, 1420, 1585, 1750, 38,
8833    265, 484, 651, 816, 981, 1146, 1311, 1476, 1641, 98, 325, 544, 711, 876,
8834    1041, 1206, 1371, 1536, 1701, 158, 385, 216, 433, 598, 763, 928, 1093, 1258,
8835    1423, 1588, 1753, 42, 269, 488, 655, 820, 985, 1150, 1315, 1480, 1645, 102,
8836    329, 548, 715, 880, 1045, 1210, 1375, 1540, 1705, 162, 389, 219, 436, 601,
8837    766, 931, 1096, 1261, 1426, 1591, 1756, 46, 273, 492, 659, 824, 989, 1154,
8838    1319, 1484, 1649, 106, 333, 552, 719, 884, 1049, 1214, 1379, 1544, 1709, 166,
8839    222, 439, 604, 769, 934, 1099, 1264, 1429, 1594, 1759, 50, 277, 496, 663,
8840    828, 993, 1158, 1323, 1488, 1653, 110, 337, 556, 723, 888, 1053, 1218, 1383,
8841    1548, 1713, 170, 401, 567, 733, 898, 1063, 1228, 1393, 1558, 1723, 6, 231,
8842    449, 615, 780, 945, 1110, 1275, 1440, 1605, 62, 289, 508, 675, 840, 1005,
8843    1170, 1335, 1500, 1665, 122, 349, 182, 415, 580, 745, 910, 1075, 1240, 1405,
8844    1570, 1735, 19, 245, 464, 631, 796, 961, 1126, 1291, 1456, 1621, 78, 305,
8845    524, 691, 856, 1021, 1186, 1351, 1516, 1681, 138, 365, 197, 564, 730, 895,
8846    1060, 1225, 1390, 1555, 1720, 3, 228, 445, 611, 776, 941, 1106, 1271, 1436,
8847    1601, 58, 285, 504, 671, 836, 1001, 1166, 1331, 1496, 1661, 118, 345, 178,
8848    397, 577, 742, 907, 1072, 1237, 1402, 1567, 1732, 16, 242, 460, 627, 792,
8849    957, 1122, 1287, 1452, 1617, 74, 301, 520, 687, 852, 1017, 1182, 1347, 1512,
8850    1677, 134, 361, 193, 411, 727, 892, 1057, 1222, 1387, 1552, 1717, 0, 225,
8851    442, 607, 772, 937, 1102, 1267, 1432, 1597, 54, 281, 500, 667, 832, 997,
8852    1162, 1327, 1492, 1657, 114, 341, 174, 393, 560, 739, 904, 1069, 1234, 1399,
8853    1564, 1729, 13, 239, 457, 623, 788, 953, 1118, 1283, 1448, 1613, 70, 297,
8854    516, 683, 848, 1013, 1178, 1343, 1508, 1673, 130, 357, 189, 407, 573,
8855  };
8856
8857  //int i;
8858  //for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
8859  //     printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
8860  //printf("*************************\n");
8861  return AsmStrs+RegAsmOffset[RegNo-1];
8862#else
8863  return NULL;
8864#endif
8865}
8866
8867#ifdef PRINT_ALIAS_INSTR
8868#undef PRINT_ALIAS_INSTR
8869
8870static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
8871{
8872  #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
8873  const char *AsmString;
8874  char *tmp, *AsmMnem, *AsmOps, *c;
8875  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
8876  switch (MCInst_getOpcode(MI)) {
8877  default: return NULL;
8878  case AArch64_ADDSwww_lsl:
8879    if (MCInst_getNumOperands(MI) == 4 &&
8880        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8881        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
8882        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8883        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
8884        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8885        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
8886        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8887        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8888      // (ADDSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
8889      AsmString = "adds $\x01, $\x02, $\x03";
8890      break;
8891    }
8892    return NULL;
8893  case AArch64_ADDSwww_uxtw:
8894    if (MCInst_getNumOperands(MI) == 4 &&
8895        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8896        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
8897        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8898        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
8899        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8900        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
8901        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8902        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8903      // (ADDSwww_uxtw GPR32:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
8904      AsmString = "adds $\x01, $\x02, $\x03";
8905      break;
8906    }
8907    return NULL;
8908  case AArch64_ADDSxxx_lsl:
8909    if (MCInst_getNumOperands(MI) == 4 &&
8910        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8911        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
8912        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8913        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
8914        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8915        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
8916        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8917        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8918      // (ADDSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
8919      AsmString = "adds $\x01, $\x02, $\x03";
8920      break;
8921    }
8922    return NULL;
8923  case AArch64_ADDSxxx_uxtx:
8924    if (MCInst_getNumOperands(MI) == 4 &&
8925        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8926        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
8927        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8928        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
8929        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8930        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
8931        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8932        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8933      // (ADDSxxx_uxtx GPR64:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
8934      AsmString = "adds $\x01, $\x02, $\x03";
8935      break;
8936    }
8937    return NULL;
8938  case AArch64_ADDwwi_lsl0_s:
8939    if (MCInst_getNumOperands(MI) == 3 &&
8940        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8941        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
8942        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8943        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
8944        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
8945        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
8946      // (ADDwwi_lsl0_s GPR32wsp:$Rd, Rwsp:$Rn, 0)
8947      AsmString = "mov $\x01, $\x02";
8948      break;
8949    }
8950    if (MCInst_getNumOperands(MI) == 3 &&
8951        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8952        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
8953        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8954        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
8955        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
8956        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
8957      // (ADDwwi_lsl0_s Rwsp:$Rd, GPR32wsp:$Rn, 0)
8958      AsmString = "mov $\x01, $\x02";
8959      break;
8960    }
8961    return NULL;
8962  case AArch64_ADDwww_lsl:
8963    if (MCInst_getNumOperands(MI) == 4 &&
8964        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8965        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
8966        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8967        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
8968        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8969        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
8970        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8971        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8972      // (ADDwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
8973      AsmString = "add $\x01, $\x02, $\x03";
8974      break;
8975    }
8976    return NULL;
8977  case AArch64_ADDwww_uxtw:
8978    if (MCInst_getNumOperands(MI) == 4 &&
8979        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8980        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
8981        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8982        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
8983        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8984        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
8985        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8986        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
8987      // (ADDwww_uxtw Rwsp:$Rd, GPR32wsp:$Rn, GPR32:$Rm, 0)
8988      AsmString = "add $\x01, $\x02, $\x03";
8989      break;
8990    }
8991    if (MCInst_getNumOperands(MI) == 4 &&
8992        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
8993        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
8994        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
8995        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
8996        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
8997        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
8998        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
8999        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9000      // (ADDwww_uxtw GPR32wsp:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
9001      AsmString = "add $\x01, $\x02, $\x03";
9002      break;
9003    }
9004    return NULL;
9005  case AArch64_ADDxxi_lsl0_s:
9006    if (MCInst_getNumOperands(MI) == 3 &&
9007        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9008        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
9009        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9010        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
9011        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9012        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9013      // (ADDxxi_lsl0_s GPR64xsp:$Rd, Rxsp:$Rn, 0)
9014      AsmString = "mov $\x01, $\x02";
9015      break;
9016    }
9017    if (MCInst_getNumOperands(MI) == 3 &&
9018        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9019        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
9020        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9021        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9022        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9023        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9024      // (ADDxxi_lsl0_s Rxsp:$Rd, GPR64xsp:$Rn, 0)
9025      AsmString = "mov $\x01, $\x02";
9026      break;
9027    }
9028    return NULL;
9029  case AArch64_ADDxxx_lsl:
9030    if (MCInst_getNumOperands(MI) == 4 &&
9031        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9032        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9033        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9034        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9035        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9036        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9037        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9038        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9039      // (ADDxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9040      AsmString = "add $\x01, $\x02, $\x03";
9041      break;
9042    }
9043    return NULL;
9044  case AArch64_ADDxxx_uxtx:
9045    if (MCInst_getNumOperands(MI) == 4 &&
9046        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9047        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
9048        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9049        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9050        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9051        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9052        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9053        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9054      // (ADDxxx_uxtx Rxsp:$Rd, GPR64xsp:$Rn, GPR64:$Rm, 0)
9055      AsmString = "add $\x01, $\x02, $\x03";
9056      break;
9057    }
9058    if (MCInst_getNumOperands(MI) == 4 &&
9059        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9060        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
9061        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9062        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
9063        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9064        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9065        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9066        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9067      // (ADDxxx_uxtx GPR64xsp:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
9068      AsmString = "add $\x01, $\x02, $\x03";
9069      break;
9070    }
9071    return NULL;
9072  case AArch64_ANDSwww_lsl:
9073    if (MCInst_getNumOperands(MI) == 4 &&
9074        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9075        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9076        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9077        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9078        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9079        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9080        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9081        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9082      // (ANDSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9083      AsmString = "ands $\x01, $\x02, $\x03";
9084      break;
9085    }
9086    return NULL;
9087  case AArch64_ANDSxxx_lsl:
9088    if (MCInst_getNumOperands(MI) == 4 &&
9089        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9090        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9091        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9092        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9093        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9094        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9095        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9096        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9097      // (ANDSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9098      AsmString = "ands $\x01, $\x02, $\x03";
9099      break;
9100    }
9101    return NULL;
9102  case AArch64_ANDwww_lsl:
9103    if (MCInst_getNumOperands(MI) == 4 &&
9104        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9105        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9106        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9107        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9108        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9109        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9110        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9111        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9112      // (ANDwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9113      AsmString = "and $\x01, $\x02, $\x03";
9114      break;
9115    }
9116    return NULL;
9117  case AArch64_ANDxxx_lsl:
9118    if (MCInst_getNumOperands(MI) == 4 &&
9119        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9120        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9121        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9122        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9123        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9124        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9125        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9126        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9127      // (ANDxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9128      AsmString = "and $\x01, $\x02, $\x03";
9129      break;
9130    }
9131    return NULL;
9132  case AArch64_BICSwww_lsl:
9133    if (MCInst_getNumOperands(MI) == 4 &&
9134        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9135        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9136        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9137        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9138        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9139        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9140        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9141        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9142      // (BICSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9143      AsmString = "bics $\x01, $\x02, $\x03";
9144      break;
9145    }
9146    return NULL;
9147  case AArch64_BICSxxx_lsl:
9148    if (MCInst_getNumOperands(MI) == 4 &&
9149        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9150        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9151        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9152        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9153        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9154        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9155        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9156        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9157      // (BICSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9158      AsmString = "bics $\x01, $\x02, $\x03";
9159      break;
9160    }
9161    return NULL;
9162  case AArch64_BICwww_lsl:
9163    if (MCInst_getNumOperands(MI) == 4 &&
9164        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9165        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9166        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9167        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9168        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9169        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9170        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9171        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9172      // (BICwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9173      AsmString = "bic $\x01, $\x02, $\x03";
9174      break;
9175    }
9176    return NULL;
9177  case AArch64_BICxxx_lsl:
9178    if (MCInst_getNumOperands(MI) == 4 &&
9179        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9180        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9181        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9182        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9183        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9184        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9185        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9186        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9187      // (BICxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9188      AsmString = "bic $\x01, $\x02, $\x03";
9189      break;
9190    }
9191    return NULL;
9192  case AArch64_CLREXi:
9193    if (MCInst_getNumOperands(MI) == 1 &&
9194        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9195        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
9196      // (CLREXi 15)
9197      AsmString = "clrex";
9198      break;
9199    }
9200    return NULL;
9201  case AArch64_CMNww_lsl:
9202    if (MCInst_getNumOperands(MI) == 3 &&
9203        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9204        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9205        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9206        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9207        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9208        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9209      // (CMNww_lsl GPR32:$Rn, GPR32:$Rm, 0)
9210      AsmString = "cmn $\x01, $\x02";
9211      break;
9212    }
9213    return NULL;
9214  case AArch64_CMNww_uxtw:
9215    if (MCInst_getNumOperands(MI) == 3 &&
9216        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9217        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
9218        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9219        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9220        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9221        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9222      // (CMNww_uxtw Rwsp:$Rn, GPR32:$Rm, 0)
9223      AsmString = "cmn $\x01, $\x02";
9224      break;
9225    }
9226    return NULL;
9227  case AArch64_CMNxx_lsl:
9228    if (MCInst_getNumOperands(MI) == 3 &&
9229        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9230        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9231        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9232        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9233        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9234        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9235      // (CMNxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
9236      AsmString = "cmn $\x01, $\x02";
9237      break;
9238    }
9239    return NULL;
9240  case AArch64_CMNxx_uxtx:
9241    if (MCInst_getNumOperands(MI) == 3 &&
9242        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9243        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
9244        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9245        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9246        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9247        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9248      // (CMNxx_uxtx Rxsp:$Rn, GPR64:$Rm, 0)
9249      AsmString = "cmn $\x01, $\x02";
9250      break;
9251    }
9252    return NULL;
9253  case AArch64_CMPww_lsl:
9254    if (MCInst_getNumOperands(MI) == 3 &&
9255        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9256        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9257        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9258        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9259        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9260        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9261      // (CMPww_lsl GPR32:$Rn, GPR32:$Rm, 0)
9262      AsmString = "cmp $\x01, $\x02";
9263      break;
9264    }
9265    return NULL;
9266  case AArch64_CMPww_uxtw:
9267    if (MCInst_getNumOperands(MI) == 3 &&
9268        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9269        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
9270        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9271        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9272        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9273        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9274      // (CMPww_uxtw Rwsp:$Rn, GPR32:$Rm, 0)
9275      AsmString = "cmp $\x01, $\x02";
9276      break;
9277    }
9278    return NULL;
9279  case AArch64_CMPxx_lsl:
9280    if (MCInst_getNumOperands(MI) == 3 &&
9281        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9282        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9283        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9284        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9285        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9286        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9287      // (CMPxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
9288      AsmString = "cmp $\x01, $\x02";
9289      break;
9290    }
9291    return NULL;
9292  case AArch64_CMPxx_uxtx:
9293    if (MCInst_getNumOperands(MI) == 3 &&
9294        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9295        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
9296        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9297        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9298        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9299        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9300      // (CMPxx_uxtx Rxsp:$Rn, GPR64:$Rm, 0)
9301      AsmString = "cmp $\x01, $\x02";
9302      break;
9303    }
9304    return NULL;
9305  case AArch64_DCPS1i:
9306    if (MCInst_getNumOperands(MI) == 1 &&
9307        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9308        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
9309      // (DCPS1i 0)
9310      AsmString = "dcps1";
9311      break;
9312    }
9313    return NULL;
9314  case AArch64_DCPS2i:
9315    if (MCInst_getNumOperands(MI) == 1 &&
9316        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9317        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
9318      // (DCPS2i 0)
9319      AsmString = "dcps2";
9320      break;
9321    }
9322    return NULL;
9323  case AArch64_DCPS3i:
9324    if (MCInst_getNumOperands(MI) == 1 &&
9325        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9326        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
9327      // (DCPS3i 0)
9328      AsmString = "dcps3";
9329      break;
9330    }
9331    return NULL;
9332  case AArch64_EONwww_lsl:
9333    if (MCInst_getNumOperands(MI) == 4 &&
9334        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9335        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9336        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9337        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9338        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9339        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9340        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9341        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9342      // (EONwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9343      AsmString = "eon $\x01, $\x02, $\x03";
9344      break;
9345    }
9346    return NULL;
9347  case AArch64_EONxxx_lsl:
9348    if (MCInst_getNumOperands(MI) == 4 &&
9349        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9350        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9351        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9352        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9353        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9354        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9355        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9356        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9357      // (EONxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9358      AsmString = "eon $\x01, $\x02, $\x03";
9359      break;
9360    }
9361    return NULL;
9362  case AArch64_EORwww_lsl:
9363    if (MCInst_getNumOperands(MI) == 4 &&
9364        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9365        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9366        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9367        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
9368        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9369        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
9370        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9371        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9372      // (EORwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
9373      AsmString = "eor $\x01, $\x02, $\x03";
9374      break;
9375    }
9376    return NULL;
9377  case AArch64_EORxxx_lsl:
9378    if (MCInst_getNumOperands(MI) == 4 &&
9379        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9380        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9381        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9382        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9383        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9384        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9385        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9386        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9387      // (EORxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
9388      AsmString = "eor $\x01, $\x02, $\x03";
9389      break;
9390    }
9391    return NULL;
9392  case AArch64_HINTi:
9393    if (MCInst_getNumOperands(MI) == 1 &&
9394        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9395        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
9396      // (HINTi 0)
9397      AsmString = "nop";
9398      break;
9399    }
9400    if (MCInst_getNumOperands(MI) == 1 &&
9401        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9402        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1) {
9403      // (HINTi 1)
9404      AsmString = "yield";
9405      break;
9406    }
9407    if (MCInst_getNumOperands(MI) == 1 &&
9408        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9409        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2) {
9410      // (HINTi 2)
9411      AsmString = "wfe";
9412      break;
9413    }
9414    if (MCInst_getNumOperands(MI) == 1 &&
9415        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9416        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 3) {
9417      // (HINTi 3)
9418      AsmString = "wfi";
9419      break;
9420    }
9421    if (MCInst_getNumOperands(MI) == 1 &&
9422        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9423        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4) {
9424      // (HINTi 4)
9425      AsmString = "sev";
9426      break;
9427    }
9428    if (MCInst_getNumOperands(MI) == 1 &&
9429        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9430        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 5) {
9431      // (HINTi 5)
9432      AsmString = "sevl";
9433      break;
9434    }
9435    return NULL;
9436  case AArch64_ISBi:
9437    if (MCInst_getNumOperands(MI) == 1 &&
9438        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
9439        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
9440      // (ISBi 15)
9441      AsmString = "isb";
9442      break;
9443    }
9444    return NULL;
9445  case AArch64_LDPSWx:
9446    if (MCInst_getNumOperands(MI) == 4 &&
9447        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9448        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9449        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9450        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
9451        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9452        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
9453        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9454        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
9455      // (LDPSWx GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
9456      AsmString = "ldpsw $\x01, $\x02, [$\x03]";
9457      break;
9458    }
9459    return NULL;
9460  case AArch64_LDRSBw:
9461    if (MCInst_getNumOperands(MI) == 3 &&
9462        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9463        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9464        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9465        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9466        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9467        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9468      // (LDRSBw GPR32:$Rt, GPR64xsp:$Rn, 0)
9469      AsmString = "ldrsb $\x01, [$\x02]";
9470      break;
9471    }
9472    return NULL;
9473  case AArch64_LDRSBw_Xm_RegOffset:
9474    if (MCInst_getNumOperands(MI) == 4 &&
9475        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9476        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9477        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9478        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9479        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9480        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9481        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9482        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9483      // (LDRSBw_Xm_RegOffset GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9484      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
9485      break;
9486    }
9487    return NULL;
9488  case AArch64_LDRSBx:
9489    if (MCInst_getNumOperands(MI) == 3 &&
9490        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9491        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9492        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9493        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9494        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9495        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9496      // (LDRSBx GPR64:$Rt, GPR64xsp:$Rn, 0)
9497      AsmString = "ldrsb $\x01, [$\x02]";
9498      break;
9499    }
9500    return NULL;
9501  case AArch64_LDRSBx_Xm_RegOffset:
9502    if (MCInst_getNumOperands(MI) == 4 &&
9503        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9504        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9505        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9506        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9507        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9508        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9509        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9510        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9511      // (LDRSBx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9512      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
9513      break;
9514    }
9515    return NULL;
9516  case AArch64_LDRSHw:
9517    if (MCInst_getNumOperands(MI) == 3 &&
9518        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9519        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9520        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9521        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9522        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9523        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9524      // (LDRSHw GPR32:$Rt, GPR64xsp:$Rn, 0)
9525      AsmString = "ldrsh $\x01, [$\x02]";
9526      break;
9527    }
9528    return NULL;
9529  case AArch64_LDRSHw_Xm_RegOffset:
9530    if (MCInst_getNumOperands(MI) == 4 &&
9531        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9532        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9533        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9534        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9535        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9536        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9537        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9538        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9539      // (LDRSHw_Xm_RegOffset GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9540      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
9541      break;
9542    }
9543    return NULL;
9544  case AArch64_LDRSHx:
9545    if (MCInst_getNumOperands(MI) == 3 &&
9546        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9547        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9548        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9549        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9550        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9551        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9552      // (LDRSHx GPR64:$Rt, GPR64xsp:$Rn, 0)
9553      AsmString = "ldrsh $\x01, [$\x02]";
9554      break;
9555    }
9556    return NULL;
9557  case AArch64_LDRSHx_Xm_RegOffset:
9558    if (MCInst_getNumOperands(MI) == 4 &&
9559        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9560        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9561        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9562        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9563        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9564        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9565        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9566        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9567      // (LDRSHx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9568      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
9569      break;
9570    }
9571    return NULL;
9572  case AArch64_LDRSWx:
9573    if (MCInst_getNumOperands(MI) == 3 &&
9574        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9575        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9576        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9577        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9578        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9579        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9580      // (LDRSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
9581      AsmString = "ldrsw $\x01, [$\x02]";
9582      break;
9583    }
9584    return NULL;
9585  case AArch64_LDRSWx_Xm_RegOffset:
9586    if (MCInst_getNumOperands(MI) == 4 &&
9587        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9588        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9589        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9590        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9591        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9592        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9593        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9594        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9595      // (LDRSWx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9596      AsmString = "ldrsw $\x01, [$\x02, $\x03]";
9597      break;
9598    }
9599    return NULL;
9600  case AArch64_LDTRSBw:
9601    if (MCInst_getNumOperands(MI) == 3 &&
9602        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9603        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9604        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9605        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9606        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9607        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9608      // (LDTRSBw GPR32:$Rt, GPR64xsp:$Rn, 0)
9609      AsmString = "ldtrsb $\x01, [$\x02]";
9610      break;
9611    }
9612    return NULL;
9613  case AArch64_LDTRSBx:
9614    if (MCInst_getNumOperands(MI) == 3 &&
9615        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9616        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9617        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9618        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9619        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9620        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9621      // (LDTRSBx GPR64:$Rt, GPR64xsp:$Rn, 0)
9622      AsmString = "ldtrsb $\x01, [$\x02]";
9623      break;
9624    }
9625    return NULL;
9626  case AArch64_LDTRSHw:
9627    if (MCInst_getNumOperands(MI) == 3 &&
9628        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9629        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9630        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9631        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9632        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9633        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9634      // (LDTRSHw GPR32:$Rt, GPR64xsp:$Rn, 0)
9635      AsmString = "ldtrsh $\x01, [$\x02]";
9636      break;
9637    }
9638    return NULL;
9639  case AArch64_LDTRSHx:
9640    if (MCInst_getNumOperands(MI) == 3 &&
9641        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9642        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9643        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9644        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9645        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9646        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9647      // (LDTRSHx GPR64:$Rt, GPR64xsp:$Rn, 0)
9648      AsmString = "ldtrsh $\x01, [$\x02]";
9649      break;
9650    }
9651    return NULL;
9652  case AArch64_LDTRSWx:
9653    if (MCInst_getNumOperands(MI) == 3 &&
9654        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9655        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9656        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9657        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9658        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9659        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9660      // (LDTRSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
9661      AsmString = "ldtrsw $\x01, [$\x02]";
9662      break;
9663    }
9664    return NULL;
9665  case AArch64_LDURSWx:
9666    if (MCInst_getNumOperands(MI) == 3 &&
9667        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9668        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9669        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9670        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9671        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9672        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9673      // (LDURSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
9674      AsmString = "ldursw $\x01, [$\x02]";
9675      break;
9676    }
9677    return NULL;
9678  case AArch64_LS16_LDR:
9679    if (MCInst_getNumOperands(MI) == 3 &&
9680        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9681        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9682        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9683        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9684        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9685        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9686      // (LS16_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
9687      AsmString = "ldrh $\x01, [$\x02]";
9688      break;
9689    }
9690    return NULL;
9691  case AArch64_LS16_LDUR:
9692    if (MCInst_getNumOperands(MI) == 3 &&
9693        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9694        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9695        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9696        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9697        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9698        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9699      // (LS16_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
9700      AsmString = "ldurh $\x01, [$\x02]";
9701      break;
9702    }
9703    return NULL;
9704  case AArch64_LS16_STR:
9705    if (MCInst_getNumOperands(MI) == 3 &&
9706        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9707        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9708        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9709        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9710        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9711        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9712      // (LS16_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
9713      AsmString = "strh $\x01, [$\x02]";
9714      break;
9715    }
9716    return NULL;
9717  case AArch64_LS16_STUR:
9718    if (MCInst_getNumOperands(MI) == 3 &&
9719        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9720        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9721        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9722        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9723        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9724        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9725      // (LS16_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
9726      AsmString = "sturh $\x01, [$\x02]";
9727      break;
9728    }
9729    return NULL;
9730  case AArch64_LS16_UnPriv_LDR:
9731    if (MCInst_getNumOperands(MI) == 3 &&
9732        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9733        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9734        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9735        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9736        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9737        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9738      // (LS16_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
9739      AsmString = "ldtrh $\x01, [$\x02]";
9740      break;
9741    }
9742    return NULL;
9743  case AArch64_LS16_UnPriv_STR:
9744    if (MCInst_getNumOperands(MI) == 3 &&
9745        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9746        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9747        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9748        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9749        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9750        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9751      // (LS16_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
9752      AsmString = "sttrh $\x01, [$\x02]";
9753      break;
9754    }
9755    return NULL;
9756  case AArch64_LS16_Xm_RegOffset_LDR:
9757    if (MCInst_getNumOperands(MI) == 4 &&
9758        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9759        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9760        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9761        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9762        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9763        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9764        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9765        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9766      // (LS16_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9767      AsmString = "ldrh $\x01, [$\x02, $\x03]";
9768      break;
9769    }
9770    return NULL;
9771  case AArch64_LS16_Xm_RegOffset_STR:
9772    if (MCInst_getNumOperands(MI) == 4 &&
9773        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9774        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9775        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9776        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9777        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9778        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9779        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9780        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9781      // (LS16_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9782      AsmString = "strh $\x01, [$\x02, $\x03]";
9783      break;
9784    }
9785    return NULL;
9786  case AArch64_LS32_LDR:
9787    if (MCInst_getNumOperands(MI) == 3 &&
9788        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9789        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9790        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9791        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9792        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9793        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9794      // (LS32_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
9795      AsmString = "ldr $\x01, [$\x02]";
9796      break;
9797    }
9798    return NULL;
9799  case AArch64_LS32_LDUR:
9800    if (MCInst_getNumOperands(MI) == 3 &&
9801        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9802        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9803        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9804        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9805        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9806        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9807      // (LS32_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
9808      AsmString = "ldur $\x01, [$\x02]";
9809      break;
9810    }
9811    return NULL;
9812  case AArch64_LS32_STR:
9813    if (MCInst_getNumOperands(MI) == 3 &&
9814        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9815        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9816        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9817        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9818        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9819        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9820      // (LS32_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
9821      AsmString = "str $\x01, [$\x02]";
9822      break;
9823    }
9824    return NULL;
9825  case AArch64_LS32_STUR:
9826    if (MCInst_getNumOperands(MI) == 3 &&
9827        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9828        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9829        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9830        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9831        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9832        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9833      // (LS32_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
9834      AsmString = "stur $\x01, [$\x02]";
9835      break;
9836    }
9837    return NULL;
9838  case AArch64_LS32_UnPriv_LDR:
9839    if (MCInst_getNumOperands(MI) == 3 &&
9840        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9841        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9842        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9843        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9844        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9845        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9846      // (LS32_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
9847      AsmString = "ldtr $\x01, [$\x02]";
9848      break;
9849    }
9850    return NULL;
9851  case AArch64_LS32_UnPriv_STR:
9852    if (MCInst_getNumOperands(MI) == 3 &&
9853        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9854        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9855        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9856        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9857        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9858        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9859      // (LS32_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
9860      AsmString = "sttr $\x01, [$\x02]";
9861      break;
9862    }
9863    return NULL;
9864  case AArch64_LS32_Xm_RegOffset_LDR:
9865    if (MCInst_getNumOperands(MI) == 4 &&
9866        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9867        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9868        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9869        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9870        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9871        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9872        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9873        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9874      // (LS32_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9875      AsmString = "ldr $\x01, [$\x02, $\x03]";
9876      break;
9877    }
9878    return NULL;
9879  case AArch64_LS32_Xm_RegOffset_STR:
9880    if (MCInst_getNumOperands(MI) == 4 &&
9881        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9882        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
9883        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9884        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9885        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9886        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9887        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9888        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9889      // (LS32_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9890      AsmString = "str $\x01, [$\x02, $\x03]";
9891      break;
9892    }
9893    return NULL;
9894  case AArch64_LS64_LDR:
9895    if (MCInst_getNumOperands(MI) == 3 &&
9896        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9897        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9898        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9899        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9900        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9901        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9902      // (LS64_LDR GPR64:$Rt, GPR64xsp:$Rn, 0)
9903      AsmString = "ldr $\x01, [$\x02]";
9904      break;
9905    }
9906    return NULL;
9907  case AArch64_LS64_LDUR:
9908    if (MCInst_getNumOperands(MI) == 3 &&
9909        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9910        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9911        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9912        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9913        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9914        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9915      // (LS64_LDUR GPR64:$Rt, GPR64xsp:$Rn, 0)
9916      AsmString = "ldur $\x01, [$\x02]";
9917      break;
9918    }
9919    return NULL;
9920  case AArch64_LS64_STR:
9921    if (MCInst_getNumOperands(MI) == 3 &&
9922        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9923        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9924        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9925        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9926        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9927        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9928      // (LS64_STR GPR64:$Rt, GPR64xsp:$Rn, 0)
9929      AsmString = "str $\x01, [$\x02]";
9930      break;
9931    }
9932    return NULL;
9933  case AArch64_LS64_STUR:
9934    if (MCInst_getNumOperands(MI) == 3 &&
9935        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9936        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9937        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9938        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9939        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9940        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9941      // (LS64_STUR GPR64:$Rt, GPR64xsp:$Rn, 0)
9942      AsmString = "stur $\x01, [$\x02]";
9943      break;
9944    }
9945    return NULL;
9946  case AArch64_LS64_UnPriv_LDR:
9947    if (MCInst_getNumOperands(MI) == 3 &&
9948        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9949        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9950        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9951        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9952        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9953        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9954      // (LS64_UnPriv_LDR GPR64:$Rt, GPR64xsp:$Rn, 0)
9955      AsmString = "ldtr $\x01, [$\x02]";
9956      break;
9957    }
9958    return NULL;
9959  case AArch64_LS64_UnPriv_STR:
9960    if (MCInst_getNumOperands(MI) == 3 &&
9961        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9962        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9963        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9964        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9965        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
9966        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
9967      // (LS64_UnPriv_STR GPR64:$Rt, GPR64xsp:$Rn, 0)
9968      AsmString = "sttr $\x01, [$\x02]";
9969      break;
9970    }
9971    return NULL;
9972  case AArch64_LS64_Xm_RegOffset_LDR:
9973    if (MCInst_getNumOperands(MI) == 4 &&
9974        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9975        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9976        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9977        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9978        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9979        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9980        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9981        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9982      // (LS64_Xm_RegOffset_LDR GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9983      AsmString = "ldr $\x01, [$\x02, $\x03]";
9984      break;
9985    }
9986    return NULL;
9987  case AArch64_LS64_Xm_RegOffset_STR:
9988    if (MCInst_getNumOperands(MI) == 4 &&
9989        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
9990        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
9991        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
9992        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
9993        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
9994        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
9995        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
9996        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
9997      // (LS64_Xm_RegOffset_STR GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
9998      AsmString = "str $\x01, [$\x02, $\x03]";
9999      break;
10000    }
10001    return NULL;
10002  case AArch64_LS8_LDR:
10003    if (MCInst_getNumOperands(MI) == 3 &&
10004        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10005        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10006        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10007        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10008        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10009        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10010      // (LS8_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
10011      AsmString = "ldrb $\x01, [$\x02]";
10012      break;
10013    }
10014    return NULL;
10015  case AArch64_LS8_LDUR:
10016    if (MCInst_getNumOperands(MI) == 3 &&
10017        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10018        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10019        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10020        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10021        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10022        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10023      // (LS8_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
10024      AsmString = "ldurb $\x01, [$\x02]";
10025      break;
10026    }
10027    return NULL;
10028  case AArch64_LS8_STR:
10029    if (MCInst_getNumOperands(MI) == 3 &&
10030        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10031        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10032        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10033        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10034        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10035        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10036      // (LS8_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
10037      AsmString = "strb $\x01, [$\x02]";
10038      break;
10039    }
10040    return NULL;
10041  case AArch64_LS8_STUR:
10042    if (MCInst_getNumOperands(MI) == 3 &&
10043        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10044        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10045        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10046        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10047        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10048        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10049      // (LS8_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
10050      AsmString = "sturb $\x01, [$\x02]";
10051      break;
10052    }
10053    return NULL;
10054  case AArch64_LS8_UnPriv_LDR:
10055    if (MCInst_getNumOperands(MI) == 3 &&
10056        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10057        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10058        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10059        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10060        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10061        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10062      // (LS8_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
10063      AsmString = "ldtrb $\x01, [$\x02]";
10064      break;
10065    }
10066    return NULL;
10067  case AArch64_LS8_UnPriv_STR:
10068    if (MCInst_getNumOperands(MI) == 3 &&
10069        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10070        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10071        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10072        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10073        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10074        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10075      // (LS8_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
10076      AsmString = "sttrb $\x01, [$\x02]";
10077      break;
10078    }
10079    return NULL;
10080  case AArch64_LS8_Xm_RegOffset_LDR:
10081    if (MCInst_getNumOperands(MI) == 4 &&
10082        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10083        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10084        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10085        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10086        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10087        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10088        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10089        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10090      // (LS8_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10091      AsmString = "ldrb $\x01, [$\x02, $\x03]";
10092      break;
10093    }
10094    return NULL;
10095  case AArch64_LS8_Xm_RegOffset_STR:
10096    if (MCInst_getNumOperands(MI) == 4 &&
10097        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10098        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10099        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10100        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10101        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10102        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10103        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10104        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10105      // (LS8_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10106      AsmString = "strb $\x01, [$\x02, $\x03]";
10107      break;
10108    }
10109    return NULL;
10110  case AArch64_LSFP128_LDR:
10111    if (MCInst_getNumOperands(MI) == 3 &&
10112        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10113        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10114        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10115        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10116        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10117        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10118      // (LSFP128_LDR FPR128:$Rt, GPR64xsp:$Rn, 0)
10119      AsmString = "ldr $\x01, [$\x02]";
10120      break;
10121    }
10122    return NULL;
10123  case AArch64_LSFP128_LDUR:
10124    if (MCInst_getNumOperands(MI) == 3 &&
10125        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10126        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10127        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10128        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10129        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10130        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10131      // (LSFP128_LDUR FPR128:$Rt, GPR64xsp:$Rn, 0)
10132      AsmString = "ldur $\x01, [$\x02]";
10133      break;
10134    }
10135    return NULL;
10136  case AArch64_LSFP128_STR:
10137    if (MCInst_getNumOperands(MI) == 3 &&
10138        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10139        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10140        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10141        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10142        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10143        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10144      // (LSFP128_STR FPR128:$Rt, GPR64xsp:$Rn, 0)
10145      AsmString = "str $\x01, [$\x02]";
10146      break;
10147    }
10148    return NULL;
10149  case AArch64_LSFP128_STUR:
10150    if (MCInst_getNumOperands(MI) == 3 &&
10151        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10152        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10153        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10154        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10155        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10156        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10157      // (LSFP128_STUR FPR128:$Rt, GPR64xsp:$Rn, 0)
10158      AsmString = "stur $\x01, [$\x02]";
10159      break;
10160    }
10161    return NULL;
10162  case AArch64_LSFP128_Xm_RegOffset_LDR:
10163    if (MCInst_getNumOperands(MI) == 4 &&
10164        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10165        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10166        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10167        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10168        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10169        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10170        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10171        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10172      // (LSFP128_Xm_RegOffset_LDR FPR128:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10173      AsmString = "ldr $\x01, [$\x02, $\x03]";
10174      break;
10175    }
10176    return NULL;
10177  case AArch64_LSFP128_Xm_RegOffset_STR:
10178    if (MCInst_getNumOperands(MI) == 4 &&
10179        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10180        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10181        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10182        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10183        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10184        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10185        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10186        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10187      // (LSFP128_Xm_RegOffset_STR FPR128:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10188      AsmString = "str $\x01, [$\x02, $\x03]";
10189      break;
10190    }
10191    return NULL;
10192  case AArch64_LSFP16_LDR:
10193    if (MCInst_getNumOperands(MI) == 3 &&
10194        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10195        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10196        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10197        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10198        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10199        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10200      // (LSFP16_LDR FPR16:$Rt, GPR64xsp:$Rn, 0)
10201      AsmString = "ldr $\x01, [$\x02]";
10202      break;
10203    }
10204    return NULL;
10205  case AArch64_LSFP16_LDUR:
10206    if (MCInst_getNumOperands(MI) == 3 &&
10207        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10208        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10209        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10210        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10211        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10212        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10213      // (LSFP16_LDUR FPR16:$Rt, GPR64xsp:$Rn, 0)
10214      AsmString = "ldur $\x01, [$\x02]";
10215      break;
10216    }
10217    return NULL;
10218  case AArch64_LSFP16_STR:
10219    if (MCInst_getNumOperands(MI) == 3 &&
10220        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10221        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10222        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10223        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10224        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10225        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10226      // (LSFP16_STR FPR16:$Rt, GPR64xsp:$Rn, 0)
10227      AsmString = "str $\x01, [$\x02]";
10228      break;
10229    }
10230    return NULL;
10231  case AArch64_LSFP16_STUR:
10232    if (MCInst_getNumOperands(MI) == 3 &&
10233        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10234        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10235        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10236        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10237        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10238        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10239      // (LSFP16_STUR FPR16:$Rt, GPR64xsp:$Rn, 0)
10240      AsmString = "stur $\x01, [$\x02]";
10241      break;
10242    }
10243    return NULL;
10244  case AArch64_LSFP16_Xm_RegOffset_LDR:
10245    if (MCInst_getNumOperands(MI) == 4 &&
10246        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10247        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10248        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10249        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10250        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10251        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10252        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10253        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10254      // (LSFP16_Xm_RegOffset_LDR FPR16:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10255      AsmString = "ldr $\x01, [$\x02, $\x03]";
10256      break;
10257    }
10258    return NULL;
10259  case AArch64_LSFP16_Xm_RegOffset_STR:
10260    if (MCInst_getNumOperands(MI) == 4 &&
10261        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10262        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
10263        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10264        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10265        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10266        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10267        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10268        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10269      // (LSFP16_Xm_RegOffset_STR FPR16:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10270      AsmString = "str $\x01, [$\x02, $\x03]";
10271      break;
10272    }
10273    return NULL;
10274  case AArch64_LSFP32_LDR:
10275    if (MCInst_getNumOperands(MI) == 3 &&
10276        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10277        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10278        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10279        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10280        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10281        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10282      // (LSFP32_LDR FPR32:$Rt, GPR64xsp:$Rn, 0)
10283      AsmString = "ldr $\x01, [$\x02]";
10284      break;
10285    }
10286    return NULL;
10287  case AArch64_LSFP32_LDUR:
10288    if (MCInst_getNumOperands(MI) == 3 &&
10289        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10290        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10291        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10292        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10293        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10294        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10295      // (LSFP32_LDUR FPR32:$Rt, GPR64xsp:$Rn, 0)
10296      AsmString = "ldur $\x01, [$\x02]";
10297      break;
10298    }
10299    return NULL;
10300  case AArch64_LSFP32_STR:
10301    if (MCInst_getNumOperands(MI) == 3 &&
10302        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10303        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10304        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10305        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10306        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10307        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10308      // (LSFP32_STR FPR32:$Rt, GPR64xsp:$Rn, 0)
10309      AsmString = "str $\x01, [$\x02]";
10310      break;
10311    }
10312    return NULL;
10313  case AArch64_LSFP32_STUR:
10314    if (MCInst_getNumOperands(MI) == 3 &&
10315        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10316        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10317        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10318        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10319        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10320        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10321      // (LSFP32_STUR FPR32:$Rt, GPR64xsp:$Rn, 0)
10322      AsmString = "stur $\x01, [$\x02]";
10323      break;
10324    }
10325    return NULL;
10326  case AArch64_LSFP32_Xm_RegOffset_LDR:
10327    if (MCInst_getNumOperands(MI) == 4 &&
10328        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10329        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10330        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10331        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10332        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10333        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10334        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10335        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10336      // (LSFP32_Xm_RegOffset_LDR FPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10337      AsmString = "ldr $\x01, [$\x02, $\x03]";
10338      break;
10339    }
10340    return NULL;
10341  case AArch64_LSFP32_Xm_RegOffset_STR:
10342    if (MCInst_getNumOperands(MI) == 4 &&
10343        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10344        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10345        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10346        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10347        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10348        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10349        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10350        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10351      // (LSFP32_Xm_RegOffset_STR FPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10352      AsmString = "str $\x01, [$\x02, $\x03]";
10353      break;
10354    }
10355    return NULL;
10356  case AArch64_LSFP64_LDR:
10357    if (MCInst_getNumOperands(MI) == 3 &&
10358        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10359        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10360        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10361        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10362        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10363        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10364      // (LSFP64_LDR FPR64:$Rt, GPR64xsp:$Rn, 0)
10365      AsmString = "ldr $\x01, [$\x02]";
10366      break;
10367    }
10368    return NULL;
10369  case AArch64_LSFP64_LDUR:
10370    if (MCInst_getNumOperands(MI) == 3 &&
10371        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10372        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10373        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10374        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10375        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10376        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10377      // (LSFP64_LDUR FPR64:$Rt, GPR64xsp:$Rn, 0)
10378      AsmString = "ldur $\x01, [$\x02]";
10379      break;
10380    }
10381    return NULL;
10382  case AArch64_LSFP64_STR:
10383    if (MCInst_getNumOperands(MI) == 3 &&
10384        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10385        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10386        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10387        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10388        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10389        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10390      // (LSFP64_STR FPR64:$Rt, GPR64xsp:$Rn, 0)
10391      AsmString = "str $\x01, [$\x02]";
10392      break;
10393    }
10394    return NULL;
10395  case AArch64_LSFP64_STUR:
10396    if (MCInst_getNumOperands(MI) == 3 &&
10397        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10398        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10399        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10400        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10401        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10402        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10403      // (LSFP64_STUR FPR64:$Rt, GPR64xsp:$Rn, 0)
10404      AsmString = "stur $\x01, [$\x02]";
10405      break;
10406    }
10407    return NULL;
10408  case AArch64_LSFP64_Xm_RegOffset_LDR:
10409    if (MCInst_getNumOperands(MI) == 4 &&
10410        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10411        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10412        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10413        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10414        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10415        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10416        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10417        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10418      // (LSFP64_Xm_RegOffset_LDR FPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10419      AsmString = "ldr $\x01, [$\x02, $\x03]";
10420      break;
10421    }
10422    return NULL;
10423  case AArch64_LSFP64_Xm_RegOffset_STR:
10424    if (MCInst_getNumOperands(MI) == 4 &&
10425        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10426        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10427        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10428        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10429        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10430        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10431        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10432        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10433      // (LSFP64_Xm_RegOffset_STR FPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10434      AsmString = "str $\x01, [$\x02, $\x03]";
10435      break;
10436    }
10437    return NULL;
10438  case AArch64_LSFP8_LDR:
10439    if (MCInst_getNumOperands(MI) == 3 &&
10440        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10441        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10442        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10443        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10444        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10445        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10446      // (LSFP8_LDR FPR8:$Rt, GPR64xsp:$Rn, 0)
10447      AsmString = "ldr $\x01, [$\x02]";
10448      break;
10449    }
10450    return NULL;
10451  case AArch64_LSFP8_LDUR:
10452    if (MCInst_getNumOperands(MI) == 3 &&
10453        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10454        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10455        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10456        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10457        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10458        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10459      // (LSFP8_LDUR FPR8:$Rt, GPR64xsp:$Rn, 0)
10460      AsmString = "ldur $\x01, [$\x02]";
10461      break;
10462    }
10463    return NULL;
10464  case AArch64_LSFP8_STR:
10465    if (MCInst_getNumOperands(MI) == 3 &&
10466        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10467        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10468        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10469        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10470        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10471        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10472      // (LSFP8_STR FPR8:$Rt, GPR64xsp:$Rn, 0)
10473      AsmString = "str $\x01, [$\x02]";
10474      break;
10475    }
10476    return NULL;
10477  case AArch64_LSFP8_STUR:
10478    if (MCInst_getNumOperands(MI) == 3 &&
10479        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10480        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10481        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10482        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10483        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10484        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10485      // (LSFP8_STUR FPR8:$Rt, GPR64xsp:$Rn, 0)
10486      AsmString = "stur $\x01, [$\x02]";
10487      break;
10488    }
10489    return NULL;
10490  case AArch64_LSFP8_Xm_RegOffset_LDR:
10491    if (MCInst_getNumOperands(MI) == 4 &&
10492        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10493        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10494        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10495        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10496        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10497        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10498        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10499        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10500      // (LSFP8_Xm_RegOffset_LDR FPR8:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10501      AsmString = "ldr $\x01, [$\x02, $\x03]";
10502      break;
10503    }
10504    return NULL;
10505  case AArch64_LSFP8_Xm_RegOffset_STR:
10506    if (MCInst_getNumOperands(MI) == 4 &&
10507        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10508        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
10509        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10510        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
10511        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10512        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10513        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10514        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
10515      // (LSFP8_Xm_RegOffset_STR FPR8:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
10516      AsmString = "str $\x01, [$\x02, $\x03]";
10517      break;
10518    }
10519    return NULL;
10520  case AArch64_LSFPPair128_LDR:
10521    if (MCInst_getNumOperands(MI) == 4 &&
10522        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10523        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10524        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10525        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
10526        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10527        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10528        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10529        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10530      // (LSFPPair128_LDR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
10531      AsmString = "ldp $\x01, $\x02, [$\x03]";
10532      break;
10533    }
10534    return NULL;
10535  case AArch64_LSFPPair128_NonTemp_LDR:
10536    if (MCInst_getNumOperands(MI) == 4 &&
10537        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10538        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10539        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10540        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
10541        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10542        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10543        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10544        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10545      // (LSFPPair128_NonTemp_LDR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
10546      AsmString = "ldnp $\x01, $\x02, [$\x03]";
10547      break;
10548    }
10549    return NULL;
10550  case AArch64_LSFPPair128_NonTemp_STR:
10551    if (MCInst_getNumOperands(MI) == 4 &&
10552        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10553        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10554        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10555        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
10556        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10557        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10558        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10559        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10560      // (LSFPPair128_NonTemp_STR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
10561      AsmString = "stnp $\x01, $\x02, [$\x03]";
10562      break;
10563    }
10564    return NULL;
10565  case AArch64_LSFPPair128_STR:
10566    if (MCInst_getNumOperands(MI) == 4 &&
10567        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10568        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
10569        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10570        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
10571        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10572        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10573        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10574        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10575      // (LSFPPair128_STR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
10576      AsmString = "stp $\x01, $\x02, [$\x03]";
10577      break;
10578    }
10579    return NULL;
10580  case AArch64_LSFPPair32_LDR:
10581    if (MCInst_getNumOperands(MI) == 4 &&
10582        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10583        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10584        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10585        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
10586        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10587        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10588        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10589        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10590      // (LSFPPair32_LDR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
10591      AsmString = "ldp $\x01, $\x02, [$\x03]";
10592      break;
10593    }
10594    return NULL;
10595  case AArch64_LSFPPair32_NonTemp_LDR:
10596    if (MCInst_getNumOperands(MI) == 4 &&
10597        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10598        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10599        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10600        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
10601        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10602        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10603        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10604        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10605      // (LSFPPair32_NonTemp_LDR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
10606      AsmString = "ldnp $\x01, $\x02, [$\x03]";
10607      break;
10608    }
10609    return NULL;
10610  case AArch64_LSFPPair32_NonTemp_STR:
10611    if (MCInst_getNumOperands(MI) == 4 &&
10612        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10613        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10614        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10615        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
10616        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10617        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10618        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10619        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10620      // (LSFPPair32_NonTemp_STR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
10621      AsmString = "stnp $\x01, $\x02, [$\x03]";
10622      break;
10623    }
10624    return NULL;
10625  case AArch64_LSFPPair32_STR:
10626    if (MCInst_getNumOperands(MI) == 4 &&
10627        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10628        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
10629        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10630        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
10631        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10632        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10633        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10634        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10635      // (LSFPPair32_STR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
10636      AsmString = "stp $\x01, $\x02, [$\x03]";
10637      break;
10638    }
10639    return NULL;
10640  case AArch64_LSFPPair64_LDR:
10641    if (MCInst_getNumOperands(MI) == 4 &&
10642        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10643        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10644        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10645        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
10646        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10647        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10648        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10649        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10650      // (LSFPPair64_LDR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
10651      AsmString = "ldp $\x01, $\x02, [$\x03]";
10652      break;
10653    }
10654    return NULL;
10655  case AArch64_LSFPPair64_NonTemp_LDR:
10656    if (MCInst_getNumOperands(MI) == 4 &&
10657        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10658        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10659        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10660        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
10661        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10662        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10663        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10664        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10665      // (LSFPPair64_NonTemp_LDR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
10666      AsmString = "ldnp $\x01, $\x02, [$\x03]";
10667      break;
10668    }
10669    return NULL;
10670  case AArch64_LSFPPair64_NonTemp_STR:
10671    if (MCInst_getNumOperands(MI) == 4 &&
10672        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10673        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10674        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10675        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
10676        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10677        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10678        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10679        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10680      // (LSFPPair64_NonTemp_STR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
10681      AsmString = "stnp $\x01, $\x02, [$\x03]";
10682      break;
10683    }
10684    return NULL;
10685  case AArch64_LSFPPair64_STR:
10686    if (MCInst_getNumOperands(MI) == 4 &&
10687        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10688        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
10689        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10690        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
10691        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10692        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10693        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10694        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10695      // (LSFPPair64_STR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
10696      AsmString = "stp $\x01, $\x02, [$\x03]";
10697      break;
10698    }
10699    return NULL;
10700  case AArch64_LSPair32_LDR:
10701    if (MCInst_getNumOperands(MI) == 4 &&
10702        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10703        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10704        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10705        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10706        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10707        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10708        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10709        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10710      // (LSPair32_LDR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
10711      AsmString = "ldp $\x01, $\x02, [$\x03]";
10712      break;
10713    }
10714    return NULL;
10715  case AArch64_LSPair32_NonTemp_LDR:
10716    if (MCInst_getNumOperands(MI) == 4 &&
10717        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10718        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10719        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10720        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10721        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10722        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10723        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10724        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10725      // (LSPair32_NonTemp_LDR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
10726      AsmString = "ldnp $\x01, $\x02, [$\x03]";
10727      break;
10728    }
10729    return NULL;
10730  case AArch64_LSPair32_NonTemp_STR:
10731    if (MCInst_getNumOperands(MI) == 4 &&
10732        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10733        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10734        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10735        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10736        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10737        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10738        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10739        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10740      // (LSPair32_NonTemp_STR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
10741      AsmString = "stnp $\x01, $\x02, [$\x03]";
10742      break;
10743    }
10744    return NULL;
10745  case AArch64_LSPair32_STR:
10746    if (MCInst_getNumOperands(MI) == 4 &&
10747        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10748        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10749        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10750        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10751        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10752        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10753        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10754        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10755      // (LSPair32_STR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
10756      AsmString = "stp $\x01, $\x02, [$\x03]";
10757      break;
10758    }
10759    return NULL;
10760  case AArch64_LSPair64_LDR:
10761    if (MCInst_getNumOperands(MI) == 4 &&
10762        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10763        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10764        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10765        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10766        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10767        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10768        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10769        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10770      // (LSPair64_LDR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
10771      AsmString = "ldp $\x01, $\x02, [$\x03]";
10772      break;
10773    }
10774    return NULL;
10775  case AArch64_LSPair64_NonTemp_LDR:
10776    if (MCInst_getNumOperands(MI) == 4 &&
10777        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10778        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10779        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10780        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10781        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10782        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10783        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10784        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10785      // (LSPair64_NonTemp_LDR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
10786      AsmString = "ldnp $\x01, $\x02, [$\x03]";
10787      break;
10788    }
10789    return NULL;
10790  case AArch64_LSPair64_NonTemp_STR:
10791    if (MCInst_getNumOperands(MI) == 4 &&
10792        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10793        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10794        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10795        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10796        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10797        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10798        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10799        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10800      // (LSPair64_NonTemp_STR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
10801      AsmString = "stnp $\x01, $\x02, [$\x03]";
10802      break;
10803    }
10804    return NULL;
10805  case AArch64_LSPair64_STR:
10806    if (MCInst_getNumOperands(MI) == 4 &&
10807        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10808        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10809        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10810        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10811        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10812        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
10813        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10814        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10815      // (LSPair64_STR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
10816      AsmString = "stp $\x01, $\x02, [$\x03]";
10817      break;
10818    }
10819    return NULL;
10820  case AArch64_MADDwwww:
10821    if (MCInst_getNumOperands(MI) == 4 &&
10822        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10823        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10824        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10825        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10826        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10827        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
10828        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_WZR) {
10829      // (MADDwwww GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, WZR)
10830      AsmString = "mul $\x01, $\x02, $\x03";
10831      break;
10832    }
10833    return NULL;
10834  case AArch64_MADDxxxx:
10835    if (MCInst_getNumOperands(MI) == 4 &&
10836        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10837        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10838        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10839        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10840        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10841        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10842        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
10843      // (MADDxxxx GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, XZR)
10844      AsmString = "mul $\x01, $\x02, $\x03";
10845      break;
10846    }
10847    return NULL;
10848  case AArch64_MSUBwwww:
10849    if (MCInst_getNumOperands(MI) == 4 &&
10850        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10851        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10852        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10853        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10854        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10855        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
10856        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_WZR) {
10857      // (MSUBwwww GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, WZR)
10858      AsmString = "mneg $\x01, $\x02, $\x03";
10859      break;
10860    }
10861    return NULL;
10862  case AArch64_MSUBxxxx:
10863    if (MCInst_getNumOperands(MI) == 4 &&
10864        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10865        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10866        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10867        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10868        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10869        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10870        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
10871      // (MSUBxxxx GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, XZR)
10872      AsmString = "mneg $\x01, $\x02, $\x03";
10873      break;
10874    }
10875    return NULL;
10876  case AArch64_MVNww_lsl:
10877    if (MCInst_getNumOperands(MI) == 3 &&
10878        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10879        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10880        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10881        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10882        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10883        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10884      // (MVNww_lsl GPR32:$Rn, GPR32:$Rm, 0)
10885      AsmString = "mvn $\x01, $\x02";
10886      break;
10887    }
10888    return NULL;
10889  case AArch64_MVNxx_lsl:
10890    if (MCInst_getNumOperands(MI) == 3 &&
10891        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10892        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10893        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10894        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10895        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
10896        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
10897      // (MVNxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
10898      AsmString = "mvn $\x01, $\x02";
10899      break;
10900    }
10901    return NULL;
10902  case AArch64_ORNwww_lsl:
10903    if (MCInst_getNumOperands(MI) == 4 &&
10904        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10905        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10906        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10907        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10908        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10909        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
10910        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10911        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10912      // (ORNwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
10913      AsmString = "orn $\x01, $\x02, $\x03";
10914      break;
10915    }
10916    return NULL;
10917  case AArch64_ORNxxx_lsl:
10918    if (MCInst_getNumOperands(MI) == 4 &&
10919        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10920        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10921        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10922        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10923        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10924        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10925        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10926        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10927      // (ORNxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
10928      AsmString = "orn $\x01, $\x02, $\x03";
10929      break;
10930    }
10931    return NULL;
10932  case AArch64_ORRwww_lsl:
10933    if (MCInst_getNumOperands(MI) == 4 &&
10934        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10935        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10936        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
10937        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10938        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
10939        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10940        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10941      // (ORRwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
10942      AsmString = "mov $\x01, $\x03";
10943      break;
10944    }
10945    if (MCInst_getNumOperands(MI) == 4 &&
10946        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10947        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10948        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10949        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
10950        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10951        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
10952        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10953        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10954      // (ORRwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
10955      AsmString = "orr $\x01, $\x02, $\x03";
10956      break;
10957    }
10958    return NULL;
10959  case AArch64_ORRxxx_lsl:
10960    if (MCInst_getNumOperands(MI) == 4 &&
10961        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10962        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10963        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
10964        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10965        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10966        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10967        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10968      // (ORRxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
10969      AsmString = "mov $\x01, $\x03";
10970      break;
10971    }
10972    if (MCInst_getNumOperands(MI) == 4 &&
10973        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10974        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
10975        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
10976        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
10977        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
10978        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
10979        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
10980        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
10981      // (ORRxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
10982      AsmString = "orr $\x01, $\x02, $\x03";
10983      break;
10984    }
10985    return NULL;
10986  case AArch64_RETx:
10987    if (MCInst_getNumOperands(MI) == 1 &&
10988        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_X30) {
10989      // (RETx X30)
10990      AsmString = "ret";
10991      break;
10992    }
10993    return NULL;
10994  case AArch64_SBCSwww:
10995    if (MCInst_getNumOperands(MI) == 3 &&
10996        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
10997        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
10998        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
10999        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11000        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
11001      // (SBCSwww GPR32:$Rd, WZR, GPR32:$Rm)
11002      AsmString = "ngcs $\x01, $\x03";
11003      break;
11004    }
11005    return NULL;
11006  case AArch64_SBCSxxx:
11007    if (MCInst_getNumOperands(MI) == 3 &&
11008        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11009        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11010        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
11011        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11012        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
11013      // (SBCSxxx GPR64:$Rd, XZR, GPR64:$Rm)
11014      AsmString = "ngcs $\x01, $\x03";
11015      break;
11016    }
11017    return NULL;
11018  case AArch64_SBCwww:
11019    if (MCInst_getNumOperands(MI) == 3 &&
11020        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11021        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11022        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
11023        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11024        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
11025      // (SBCwww GPR32:$Rd, WZR, GPR32:$Rm)
11026      AsmString = "ngc $\x01, $\x03";
11027      break;
11028    }
11029    return NULL;
11030  case AArch64_SBCxxx:
11031    if (MCInst_getNumOperands(MI) == 3 &&
11032        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11033        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11034        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
11035        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11036        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
11037      // (SBCxxx GPR64:$Rd, XZR, GPR64:$Rm)
11038      AsmString = "ngc $\x01, $\x03";
11039      break;
11040    }
11041    return NULL;
11042  case AArch64_SMADDLxwwx:
11043    if (MCInst_getNumOperands(MI) == 4 &&
11044        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11045        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11046        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11047        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11048        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11049        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11050        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
11051      // (SMADDLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
11052      AsmString = "smull $\x01, $\x02, $\x03";
11053      break;
11054    }
11055    return NULL;
11056  case AArch64_SMSUBLxwwx:
11057    if (MCInst_getNumOperands(MI) == 4 &&
11058        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11059        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11060        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11061        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11062        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11063        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11064        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
11065      // (SMSUBLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
11066      AsmString = "smnegl $\x01, $\x02, $\x03";
11067      break;
11068    }
11069    return NULL;
11070  case AArch64_SUBSwww_lsl:
11071    if (MCInst_getNumOperands(MI) == 4 &&
11072        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11073        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11074        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11075        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11076        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11077        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11078        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11079        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11080      // (SUBSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
11081      AsmString = "subs $\x01, $\x02, $\x03";
11082      break;
11083    }
11084    if (MCInst_getNumOperands(MI) == 4 &&
11085        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11086        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11087        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
11088        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11089        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11090        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11091        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11092      // (SUBSwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
11093      AsmString = "negs $\x01, $\x03";
11094      break;
11095    }
11096    return NULL;
11097  case AArch64_SUBSwww_uxtw:
11098    if (MCInst_getNumOperands(MI) == 4 &&
11099        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11100        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11101        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11102        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
11103        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11104        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11105        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11106        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11107      // (SUBSwww_uxtw GPR32:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
11108      AsmString = "subs $\x01, $\x02, $\x03";
11109      break;
11110    }
11111    return NULL;
11112  case AArch64_SUBSxxx_lsl:
11113    if (MCInst_getNumOperands(MI) == 4 &&
11114        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11115        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11116        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11117        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
11118        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11119        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11120        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11121        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11122      // (SUBSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
11123      AsmString = "subs $\x01, $\x02, $\x03";
11124      break;
11125    }
11126    if (MCInst_getNumOperands(MI) == 4 &&
11127        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11128        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11129        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
11130        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11131        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11132        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11133        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11134      // (SUBSxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
11135      AsmString = "negs $\x01, $\x03";
11136      break;
11137    }
11138    return NULL;
11139  case AArch64_SUBSxxx_uxtx:
11140    if (MCInst_getNumOperands(MI) == 4 &&
11141        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11142        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11143        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11144        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
11145        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11146        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11147        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11148        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11149      // (SUBSxxx_uxtx GPR64:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
11150      AsmString = "subs $\x01, $\x02, $\x03";
11151      break;
11152    }
11153    return NULL;
11154  case AArch64_SUBwww_lsl:
11155    if (MCInst_getNumOperands(MI) == 4 &&
11156        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11157        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11158        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11159        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11160        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11161        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11162        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11163        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11164      // (SUBwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
11165      AsmString = "sub $\x01, $\x02, $\x03";
11166      break;
11167    }
11168    if (MCInst_getNumOperands(MI) == 4 &&
11169        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11170        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11171        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
11172        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11173        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11174        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11175        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11176      // (SUBwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
11177      AsmString = "neg $\x01, $\x03";
11178      break;
11179    }
11180    return NULL;
11181  case AArch64_SUBwww_uxtw:
11182    if (MCInst_getNumOperands(MI) == 4 &&
11183        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11184        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
11185        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11186        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
11187        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11188        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11189        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11190        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11191      // (SUBwww_uxtw Rwsp:$Rd, GPR32wsp:$Rn, GPR32:$Rm, 0)
11192      AsmString = "sub $\x01, $\x02, $\x03";
11193      break;
11194    }
11195    if (MCInst_getNumOperands(MI) == 4 &&
11196        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11197        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
11198        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11199        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
11200        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11201        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11202        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11203        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11204      // (SUBwww_uxtw GPR32wsp:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
11205      AsmString = "sub $\x01, $\x02, $\x03";
11206      break;
11207    }
11208    return NULL;
11209  case AArch64_SUBxxx_lsl:
11210    if (MCInst_getNumOperands(MI) == 4 &&
11211        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11212        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11213        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11214        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
11215        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11216        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11217        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11218        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11219      // (SUBxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
11220      AsmString = "sub $\x01, $\x02, $\x03";
11221      break;
11222    }
11223    if (MCInst_getNumOperands(MI) == 4 &&
11224        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11225        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11226        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
11227        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11228        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11229        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11230        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11231      // (SUBxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
11232      AsmString = "neg $\x01, $\x03";
11233      break;
11234    }
11235    return NULL;
11236  case AArch64_SUBxxx_uxtx:
11237    if (MCInst_getNumOperands(MI) == 4 &&
11238        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11239        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
11240        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11241        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
11242        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11243        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11244        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11245        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11246      // (SUBxxx_uxtx Rxsp:$Rd, GPR64xsp:$Rn, GPR64:$Rm, 0)
11247      AsmString = "sub $\x01, $\x02, $\x03";
11248      break;
11249    }
11250    if (MCInst_getNumOperands(MI) == 4 &&
11251        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11252        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
11253        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11254        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
11255        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11256        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
11257        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
11258        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
11259      // (SUBxxx_uxtx GPR64xsp:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
11260      AsmString = "sub $\x01, $\x02, $\x03";
11261      break;
11262    }
11263    return NULL;
11264  case AArch64_TSTww_lsl:
11265    if (MCInst_getNumOperands(MI) == 3 &&
11266        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11267        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
11268        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11269        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11270        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
11271        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
11272      // (TSTww_lsl GPR32:$Rn, GPR32:$Rm, 0)
11273      AsmString = "tst $\x01, $\x02";
11274      break;
11275    }
11276    return NULL;
11277  case AArch64_TSTxx_lsl:
11278    if (MCInst_getNumOperands(MI) == 3 &&
11279        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11280        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11281        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11282        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
11283        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
11284        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
11285      // (TSTxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
11286      AsmString = "tst $\x01, $\x02";
11287      break;
11288    }
11289    return NULL;
11290  case AArch64_UMADDLxwwx:
11291    if (MCInst_getNumOperands(MI) == 4 &&
11292        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11293        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11294        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11295        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11296        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11297        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11298        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
11299      // (UMADDLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
11300      AsmString = "umull $\x01, $\x02, $\x03";
11301      break;
11302    }
11303    return NULL;
11304  case AArch64_UMSUBLxwwx:
11305    if (MCInst_getNumOperands(MI) == 4 &&
11306        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
11307        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
11308        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
11309        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
11310        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
11311        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
11312        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
11313      // (UMSUBLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
11314      AsmString = "umnegl $\x01, $\x02, $\x03";
11315      break;
11316    }
11317    return NULL;
11318  }
11319
11320  tmp = cs_strdup(AsmString);
11321  AsmMnem = tmp;
11322  AsmOps = strchr(tmp, ' ');
11323  if (AsmOps) {
11324    *AsmOps = '\0';
11325    AsmOps += 1;
11326  }
11327  SStream_concat(OS, "%s", AsmMnem);
11328  if (AsmOps) {
11329    SStream_concat(OS, "\t");
11330    for (c = AsmOps; *c; c++) {
11331      if (*c == '$') {
11332        c += 1;
11333        printOperand(MI, *c - 1, OS);
11334      } else {
11335        SStream_concat(OS, "%c", *c);
11336      }
11337    }
11338  }
11339  return tmp;
11340}
11341
11342#endif // PRINT_ALIAS_INSTR
11343