1bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#include <stdio.h>
2bf68e98f4532d5469f7be4ef77933ae50069f201dejanj/* Independent tests for each DSP instruction from MIPS32 DSP ASE instruction
3bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   set */
4bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5bf68e98f4532d5469f7be4ef77933ae50069f201dejanjunsigned int mem[] = {
6bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   0x121f1e1f, 0, 3, -1,
7bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   0x232f2e2f, 0x242c2b2b, 0x252a2e2b, 0x262d2d2a,
8bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   0x3f343f3e, 0x3e353d3c, 0x363a3c3b, 0x3b373b3a,
9bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   0x454f4e45, 0x4e464d46, 0x474d474c, 0x4a484a4c
10bf68e98f4532d5469f7be4ef77933ae50069f201dejanj};
11bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
12bf68e98f4532d5469f7be4ef77933ae50069f201dejanjvoid ppMem(unsigned int* _mem, int _len)
13bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{
14bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int i;
15bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("MEM:\n");
16bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   for (i = 0; i < _len; i=i+4)
17bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   {
18bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      printf("0x%08x, 0x%08x, 0x%08x, 0x%08x\n",
19bf68e98f4532d5469f7be4ef77933ae50069f201dejanj            _mem[i], _mem[i+1], _mem[i+2], _mem[i+3]);
20bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   }
21bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[0] = 0x121f1e1f;
22bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[1] = 0;
23bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[2] = 3;
24bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[3] = -1;
25bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[4] = 0x232f2e2f;
26bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[5] = 0x242c2b2b;
27bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[6] = 0x252a2e2b;
28bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[7] = 0x262d2d2a;
29bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[8] = 0x3f343f3e;
30bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[9] = 0x3e353d3c;
31bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[10] = 0x363a3c3b;
32bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[11] = 0x3b373b3a;
33bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[12] = 0x454f4e45;
34bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[13] = 0x4e464d46;
35bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[14] = 0x474d474c;
36bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   _mem[15] = 0x4a484a4c;
37bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
38bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
39bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_DSPC(instruction, RTval, RD, RT) \
40bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                          \
41bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                   \
42bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                      \
43bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                       \
44bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                     \
45bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                \
46bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                             \
47bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                             \
48bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                  \
49bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                             \
50bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                \
51bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                       \
52bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RTval)                                        \
53bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RT, #RD                                           \
54bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                      \
55bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x DSPControl 0x%x\n",   \
56bf68e98f4532d5469f7be4ef77933ae50069f201dejanj         instruction, out, RTval, dspCtrl);                \
57bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
58bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
59bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_NODSPC(instruction, RTval, RD, RT) \
60bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                            \
61bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                     \
62bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                         \
63bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                       \
64bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                  \
65bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %1 \n\t"                               \
66bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                    \
67bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                               \
68bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                          \
69bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RTval)                                          \
70bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RT, #RD                                             \
71bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                        \
72bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x \n",                    \
73bf68e98f4532d5469f7be4ef77933ae50069f201dejanj         instruction, out, RTval);                           \
74bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
75bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
76bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RS_RT_DSPC(instruction, RSval, RTval, RD, RS, RT) \
77bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                        \
78bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                 \
79bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                    \
80bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                     \
81bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                   \
82bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                              \
83bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                                           \
84bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %2 \n\t"                                           \
85bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %3 \n\t"                                           \
86bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                \
87bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                           \
88bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                              \
89bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                                     \
90bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval)                                          \
91bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RS, #RT                                                    \
92bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                    \
93bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rs 0x%08x rt 0x%08x out 0x%08x DSPCtrl 0x%08x\n",       \
94bf68e98f4532d5469f7be4ef77933ae50069f201dejanj        instruction, RSval, RTval, out, dspCtrl);                        \
95bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
96bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
97bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_BPOSGE32(instruction, RDval, POSval, RD, POSreg) \
98bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                    \
99bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int out = 0;                                             \
100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                 \
101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                               \
102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #POSreg ", %1 \n\t"                                   \
103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #POSreg ", 0x3f \n\t"                                \
104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RD ", %2 \n\t"                                       \
105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction" end"instruction#RDval" \n\t"                      \
106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "nop \n\t"                                                     \
107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "addi $" #RD ", $" #RD", 5 \n\t"                               \
108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "end"instruction#RDval": \n\t"                                 \
109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "addi $" #RD ", $" #RD", 1 \n\t"                               \
110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                       \
111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                  \
112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (POSval), "r" (RDval)                                    \
113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #POSreg                                                 \
114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      );                                                             \
115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      printf(instruction" :: %d, POSval: %d\n",                      \
116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj        out, POSval);                                                \
117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RS_RT_DSPC(instruction, RSval, RTval, RS, RT) \
120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                 \
121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                             \
122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                              \
123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                            \
124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                                    \
125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %1 \n\t"                                    \
126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                    \
127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                         \
128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %0, 0x3f \n\t"                                       \
129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (dspCtrl)                                           \
130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval)                                   \
131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RS, #RT                                                  \
132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                             \
133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rs 0x%08x rt 0x%08x DSPCtrl 0x%08x \n",          \
134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj        instruction, RSval, RTval, dspCtrl);                      \
135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RS_RT_NODSPC(instruction, RSval, RTval, RD, RS, RT) \
138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                          \
139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                   \
140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                       \
141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                     \
142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                                \
143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %1 \n\t"                                             \
144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                             \
145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                  \
146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                             \
147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                        \
148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval)                                            \
149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RS, #RT                                                      \
150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                      \
151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rs 0x%08x rt 0x%08x out 0x%08x\n",                        \
152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj        instruction, RSval, RTval, out);                                   \
153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_AC_RS_RT_DSPC(instruction, ac, RSval, RTval, HIval, LOval, \
156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                                  RS, RT)                                      \
157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out_hi = 0xdeadbeef;                                                    \
159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out_lo = 0xdeadbeef;                                                    \
160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                          \
161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %5 \n\t"                                                 \
164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %6 \n\t"                                                 \
165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RS", $" ac " \n\t"                                             \
166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RT", $" ac " \n\t"                                             \
167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %3 \n\t"                                                 \
168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %4 \n\t"                                                 \
169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                                                 \
170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction "  \n\t"                                                     \
171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %2, 0x3f \n\t"                                                    \
172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac " \n\t"                                                  \
173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac " \n\t"                                                  \
174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out_hi), "=&r" (out_lo), "=&r" (dspCtrl)                        \
175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval), "r" (HIval), "r"(LOval)                       \
176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RS, #RT                                                               \
177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rs 0x%08x rt 0x%08x inHI 0x%08x inLO 0x%08x outHI 0x%08x outLO\
179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          0x%08x dspCtrl 0x%08x\n",instruction, RSval, RTval, HIval, LOval,    \
180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          out_hi, out_lo, dspCtrl);                                            \
181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_AC_RS_RT_NODSPC(instruction, ac, RSval, RTval, HIval,      \
184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                                    LOval, RS, RT)                             \
185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out_hi = 0xdeadbeef;                                                    \
187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out_lo = 0xdeadbeef;                                                    \
188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %4 \n\t"                                                 \
191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %5 \n\t"                                                 \
192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RS", $" ac " \n\t"                                             \
193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RT", $" ac " \n\t"                                             \
194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %2 \n\t"                                                 \
195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %3 \n\t"                                                 \
196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                      \
197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac " \n\t"                                                  \
198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac " \n\t"                                                  \
199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out_hi), "=&r" (out_lo)                                         \
200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval), "r" (HIval), "r"(LOval)                       \
201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RS, #RT                                                               \
202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rs 0x%08x rt 0x%08x inHI 0x%08x inLO 0x%08x outHI 0x%08x outLO\
204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          0x%08x \n",instruction, RSval, RTval, HIval, LOval, out_hi, out_lo); \
205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_EXT(instruction, ac, RT, HIval, LOval, size, pos) \
208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                     \
209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                              \
210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                 \
211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                  \
212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                \
213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                        \
214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #RT ", 0x3f \n\t"                                     \
215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %3 \n\t"                                        \
216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RT", $" ac " \n\t"                                    \
217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %4 \n\t"                                        \
218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RT", $" ac " \n\t"                                    \
219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                             \
220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                           \
221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RT " \n\t"                                        \
222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                                  \
223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (pos), "r" (HIval), "r" (LOval)                           \
224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RT                                                           \
225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                 \
226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rt 0x%08x %s 0x%08x%08x size %2d DSPCtrl 0x%08x\n",  \
227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj       instruction, out, ac, HIval, LOval, size, dspCtrl);            \
228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_EXTV(instruction, ac, RT, HIval, LOval, RS, RSval, pos) \
231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                           \
232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                    \
233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                       \
234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                        \
235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                      \
236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %5 \n\t"                                              \
237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                              \
238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #RT ", 0x3f \n\t"                                           \
239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %3 \n\t"                                              \
240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RT", $" ac " \n\t"                                          \
241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %4 \n\t"                                              \
242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RT", $" ac " \n\t"                                          \
243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                   \
244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                                 \
245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RT " \n\t"                                              \
246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                                        \
247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (pos), "r" (HIval), "r" (LOval), "r" (RSval)                    \
248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RT, #RS                                                            \
249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                       \
250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rt 0x%08x %s 0x%08x%08x rs 0x%08x DSPCtrl 0x%08x\n",       \
251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          instruction, out, ac, HIval, LOval, RSval, dspCtrl);              \
252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_INSV(instruction, RTval, RSval, RT, RS, _pos, _size)       \
255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int out;                                                           \
257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %3 \n\t"                                                 \
260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #RS ", 0x1 \n\t"                                               \
261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %4 \n\t"                                                 \
262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #RS ", 0x2 \n\t"                                               \
263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS", %1 \n\t"                                                  \
264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT", %2 \n\t"                                                  \
265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "insv $" #RT ", $" #RS " \n\t"                                           \
266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RT " \n\t"                                                 \
267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "=&r" (out)                                                             \
268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "r" (RSval), "r" (RTval), "r" (_pos), "r" (_size)                       \
269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : #RS, #RT                                                                \
270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("insv :: out: 0x%08x rtIN 0x%08x rsIN 0x%08x posI %2d sizeI %2d \n", \
272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj         out, RTval, RSval, _pos, _size>>7); \
273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_LWX(index, RT, RS)                 \
276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                      \
277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    unsigned int out;                                  \
278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                   \
279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                 \
280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RS", %1 \n\t"                           \
281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RT", %2 \n\t"                           \
282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "lwx %0, $" #RT "($"#RS") \n\t"                   \
283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "=&r" (out)                                      \
284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "r" (mem), "r" (index)                           \
285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : #RT, #RS, "memory"                               \
286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    );                                                 \
287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("lwx :: out: 0x%08x mem[%d]\n", out, index); \
288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_LHX(index, RT, RS)                 \
291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                      \
292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    unsigned int out;                                  \
293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                   \
294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                 \
295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RS", %1 \n\t"                           \
296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RT", %2 \n\t"                           \
297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "lhx %0, $" #RT "($"#RS") \n\t"                   \
298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "=&r" (out)                                      \
299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "r" (mem), "r" (index)                           \
300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : #RT, #RS, "memory"                               \
301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    );                                                 \
302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("lhx :: out: 0x%08x mem[%d]\n", out, index); \
303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_LBUX(index, RT, RS)                 \
306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                       \
307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    unsigned int out;                                   \
308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                    \
309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                  \
310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RS", %1 \n\t"                            \
311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "move $" #RT", %2 \n\t"                            \
312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     "lbux %0, $" #RT "($"#RS") \n\t"                   \
313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "=&r" (out)                                       \
314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : "r" (mem), "r" (index)                            \
315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    : #RT, #RS, "memory"                                \
316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj    );                                                  \
317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("lbux :: out: 0x%08x mem[%d]\n", out, index); \
318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_HILO(ac, RSval_hi, RSval_lo)                             \
321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                            \
322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int HI = 0xdeadbeef;                                             \
323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int LO = 0xdeadbeef;                                             \
324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                         \
325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                       \
326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $t0, %2 \n\t"                                                    \
327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $t1, %3 \n\t"                                                    \
328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $t0, $" ac " \n\t"                                               \
329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $t1, $" ac " \n\t"                                               \
330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac " \n\t"                                                \
331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac " \n\t"                                                \
332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "=&r" (HI), "=&r" (LO)                                                \
333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "r" (RSval_hi), "r" (RSval_lo)                                        \
334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "t0", "t1"                                                            \
335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                        \
336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("rs_hi: 0x%08x rs_lo: 0x%08x %s out HI: 0x%08x, out LO: 0x%08x\n", \
337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          RSval_hi, RSval_lo, ac, HI, LO);                                   \
338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_MTHLIP(instruction, ac, HIval, LOval, RSval, RS, pos)      \
341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int outHI;                                                         \
343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int outLO;                                                         \
344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   unsigned int dspCtrl;                                                       \
345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %3\n\t"                                                  \
348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RS", $" ac "\n\t"                                              \
349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %4\n\t"                                                  \
350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RS", $" ac "\n\t"                                              \
351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %5\n\t"                                                  \
352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $" #RS ", 0x1 \n\t"                                               \
353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %6\n\t"                                                  \
354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction "\n\t"                                                       \
355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac "\n\t"                                                   \
356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac "\n\t"                                                   \
357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %2, 0x1 \n\t"                                                     \
358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "=&r" (outHI), "=&r" (outLO), "=&r" (dspCtrl)                           \
359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : "r" (HIval), "r" (LOval), "r" (pos), "r" (RSval)                        \
360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj     : #RS                                                                     \
361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("mthlip :: acIn: 0x%08x%08x rsIn 0x%08x posIn 0x%08x acOut 0x%08x%08x\
363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          posOut 0x%08x\n", HIval, LOval, RSval, pos, outHI, outLO, dspCtrl);  \
364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_PICK(instruction, instruction1, RSval, RTval, RD, RS, RT) \
367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                             \
368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                      \
369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl1 = 0x0;                                                        \
370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                          \
371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                        \
372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                                   \
373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x1f \n\t"                                                \
374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %2 \n\t"                                                \
375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %3 \n\t"                                                \
376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction1 " \n\t"                                                    \
377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x1f \n\t"                                                   \
378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                     \
379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                                \
380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl1)                                         \
381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval), "r"(RTval)                                               \
382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RS, #RT                                                         \
383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                         \
384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: %s rs 0x%08x rt 0x%08x out 0x%08x DSPCtrl1 0x%x\n",          \
385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          instruction, instruction1, RSval, RTval, out, dspCtrl1);            \
386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RADDU_W_QB(instruction, RSval, RD, RS)                    \
389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                             \
390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                      \
391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                          \
392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                        \
393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %1 \n\t"                                                \
394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                     \
395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                                \
396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                           \
397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (RSval)                                                           \
398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RS                                                              \
399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                         \
400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: out 0x%08x rs 0x%08x\n",                                     \
401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj        instruction, out, RSval);                                             \
402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RDDSPWRDSP(REGval, mask)                               \
405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                          \
406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                   \
407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                       \
408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                     \
409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $t0, %1 \n\t"                                                  \
410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $t0, " #mask " \n\t"                                          \
411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %0, " #mask " \n\t"                                           \
412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                        \
413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (REGval)                                                       \
414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "t0"                                                               \
415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                      \
416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("outVal 0x%08x inVal 0x%08x mask 0x%08x \n", out, REGval, mask); \
417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_IMM_NODSPC(instruction, Imm, RD)           \
420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                 \
421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                          \
422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                              \
423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                            \
424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                       \
425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                         \
426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                    \
427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                               \
428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      :                                                           \
429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD                                                       \
430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                             \
431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x imm 0x%08x\n", instruction, out, Imm); \
432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_SHILO(ac, HIval, LOval, shift)                             \
435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int outHI = 0xdeadbeef;                                                     \
437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int outLO = 0xdeadbeef;                                                     \
438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $t0, %2 \n\t"                                                      \
441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $t1, %3 \n\t"                                                      \
442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $t0, $" ac " \n\t"                                                 \
443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $t1, $" ac " \n\t"                                                 \
444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "shilo $" ac ", " #shift " \n\t"                                         \
445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac " \n\t"                                                  \
446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac " \n\t"                                                  \
447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (outHI), "=&r" (outLO)                                           \
448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (HIval), "r" (LOval)                                               \
449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "t0", "t1"                                                             \
450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("shilo %s, %3d inAcc = 0x%08x%08x outAcc = 0x%08x%08x\n", ac, shift, \
452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          HIval, LOval, outHI, outLO);                                         \
453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSP_SHILOV(ac, HIval, LOval, RSval, RS)                            \
456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int outHI = 0xdeadbeef;                                                     \
458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int outLO = 0xdeadbeef;                                                     \
459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %2 \n\t"                                                 \
462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mthi $" #RS ", $" ac " \n\t"                                            \
463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %3 \n\t"                                                 \
464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mtlo $" #RS ", $" ac " \n\t"                                            \
465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %4 \n\t"                                                 \
466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "shilov $" ac ", $" #RS " \n\t"                                          \
467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mfhi %0, $" ac " \n\t"                                                  \
468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "mflo %1, $" ac " \n\t"                                                  \
469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (outHI), "=&r" (outLO)                                           \
470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r" (HIval), "r" (LOval), "r" (RSval)                                  \
471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RS                                                                    \
472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("shilov %s, rs 0x%08x inAcc = 0x%08x%08x outAcc = 0x%08x%08x\n", ac, \
474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          RSval, HIval, LOval, outHI, outLO);                                  \
475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_SA_DSPC(instruction, RTval, SAval, RD, RT)        \
478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                           \
479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                    \
480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                       \
481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                        \
482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                      \
483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                                 \
484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                                              \
485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                              \
486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                   \
487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                                 \
488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                              \
489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                                        \
490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r"(RTval)                                                          \
491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RT                                                            \
492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                       \
493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x sa %2d DSPCtrl 0x%08x\n", instruction, \
494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          out, RTval, SAval, dspCtrl);                                      \
495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_SA_NODSPC(instruction, RTval, SAval, RD, RT)   \
498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                        \
499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                 \
500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                     \
501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                   \
502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                              \
503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %1 \n\t"                                           \
504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                \
505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                           \
506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                      \
507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r"(RTval)                                                       \
508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RT                                                         \
509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                    \
510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x sa %2d\n", instruction, out, RTval, \
511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          SAval);                                                        \
512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_RS_DSPC(instruction, RTval, RSval, RD, RT, RS)       \
515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                              \
516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                       \
517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int dspCtrl = 0x0;                                                          \
518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                           \
519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                         \
520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                                    \
521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "wrdsp $zero, 0x3f \n\t"                                                 \
522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %2 \n\t"                                                 \
523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %3 \n\t"                                                 \
524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                      \
525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "rddsp %1, 0x3f \n\t"                                                    \
526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                                 \
527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out), "=&r" (dspCtrl)                                           \
528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r"(RTval), "r"(RSval)                                                 \
529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RT, #RS                                                          \
530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                          \
531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x rs 0x%08x DSPCtrl 0x%08x\n", instruction, \
532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          out, RTval, RSval, dspCtrl);                                         \
533bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj#define TESTDSPINST_RD_RT_RS_NODSPC(instruction, RTval, RSval, RD, RT, RS)  \
536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{                                                                           \
537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int out = 0xdeadbeef;                                                    \
538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   __asm__ volatile(                                                        \
539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      ".set dsp; \n\t"                                                      \
540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "li $" #RD ", 0 \n\t"                                                 \
541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RT ", %1 \n\t"                                              \
542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move $" #RS ", %2 \n\t"                                              \
543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      instruction " \n\t"                                                   \
544bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      "move %0, $" #RD " \n\t"                                              \
545bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "=&r" (out)                                                         \
546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : "r"(RTval), "r"(RSval)                                              \
547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      : #RD, #RT, #RS                                                       \
548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   );                                                                       \
549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("%s :: rd 0x%08x rt 0x%08x rs 0x%08x\n", instruction, out, RTval, \
550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj          RSval);                                                           \
551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
553bf68e98f4532d5469f7be4ef77933ae50069f201dejanjint main(int argc, char **argv)
554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{
555751c1e6e1657cbafe30baaf36ad9bd011c3a179bdejanj#if (__mips==32) && (__mips_isa_rev>=2)
556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ABSQ_S.PH --------\n");
557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1", 0x00000000, t0, t1);
558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3", 0x00000286, t2, t3);
559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1", 0xfabc2435, t4, t1);
560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7", 0x73468000, t6, t7);
561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t5, $t3", 0x80000000, t5, t3);
562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t4", 0xffffffff, t2, t4);
563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t8", 0xfff45fff, t0, t8);
564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t4", 0x00000555, t4, t4);
565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1", 0x23534870, t0, t1);
566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3", 0x0555adec, t2, t3);
567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1", 0x980b7cde, t4, t1);
568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7", 0xf973437b, t6, t7);
56986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t5, $t3", 0x23c54b6e, t5, t3);
570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t4", 0x55555555, t2, t4);
571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t8", 0xc4dbfe20, t0, t8);
572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t4", 0x734680bc, t4, t4);
573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1", 0x00354565, t0, t1);
574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3", 0xbacabaca, t2, t3);
57586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1", 0xdba38ec9, t4, t1);
57686e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7", 0x0b300286, t6, t7);
577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t5, $t3", 0xabababab, t5, t3);
578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t4", 0x00086755, t2, t4);
579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t8", 0x8f8f8f80, t0, t8);
580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t4", 0xeeeeeeee, t4, t4);
581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1", 0x1bdbdbdb, t0, t1);
582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3", 0xdecadeca, t2, t3);
583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1", 0x93474bde, t4, t1);
584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7", 0xfabfabfa, t6, t7);
585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t5, $t3", 0x083b3571, t5, t3);
586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t4", 0xb9743941, t2, t4);
587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t8", 0xbc80f924, t0, t8);
588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t4", 0xcc3c201c, t4, t4);
589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1", 0x1ebaf88e, t0, t1);
590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3", 0x722d5e20, t2, t3);
591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1", 0xa1d6f791, t4, t1);
592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7", 0x7b11bee7, t6, t7);
593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t5, $t3", 0xa5631488, t5, t3);
594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t4", 0xb10bcc65, t2, t4);
595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t8", 0x73f39fca, t0, t8);
596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t4", 0x80008000, t4, t4);
597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t0, $t1",     -23456, t0, t1);
598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t2, $t3",  123498746, t2, t3);
599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t4, $t1",        -13, t4, t1);
600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.ph $t6, $t7",       -237, t6, t7);
601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ABSQ_S.W --------\n");
603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1", 0x00000000, t0, t1);
604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3", 0x00000286, t2, t3);
605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1", 0xfabc2435, t4, t1);
606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7", 0x73468000, t6, t7);
607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t5, $t3", 0x80000000, t5, t3);
608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t4", 0xffffffff, t2, t4);
609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t8", 0xfff45fff, t0, t8);
610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t4", 0x00000555, t4, t4);
611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1", 0x23534870, t0, t1);
612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3", 0x0555adec, t2, t3);
613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1", 0x980b7cde, t4, t1);
614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7", 0xf973437b, t6, t7);
61586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t5, $t3", 0x23c54b6e, t5, t3);
616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t4", 0x55555555, t2, t4);
617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t8", 0xc4dbfe20, t0, t8);
618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t4", 0x734680bc, t4, t4);
619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1", 0x00354565, t0, t1);
620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3", 0xbacabaca, t2, t3);
62186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1", 0xdba38ec9, t4, t1);
62286e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7", 0x0b300286, t6, t7);
623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t5, $t3", 0xabababab, t5, t3);
624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t4", 0x00086755, t2, t4);
625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t8", 0x8f8f8f80, t0, t8);
626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t4", 0xeeeeeeee, t4, t4);
627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1", 0x1bdbdbdb, t0, t1);
628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3", 0xdecadeca, t2, t3);
629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1", 0x93474bde, t4, t1);
630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7", 0xfabfabfa, t6, t7);
631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t5, $t3", 0x083b3571, t5, t3);
632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t4", 0xb9743941, t2, t4);
633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t8", 0xbc80f924, t0, t8);
634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t4", 0xcc3c201c, t4, t4);
635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1", 0x1ebaf88e, t0, t1);
636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3", 0x722d5e20, t2, t3);
637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1", 0xa1d6f791, t4, t1);
638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7", 0x7b11bee7, t6, t7);
639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t5, $t3", 0xa5631488, t5, t3);
640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t4", 0xb10bcc65, t2, t4);
641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t8", 0x73f39fca, t0, t8);
642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t4", 0x80000000, t4, t4);
643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t0, $t1",     -23456, t0, t1);
644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t2, $t3",  123498746, t2, t3);
645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t4, $t1",        -13, t4, t1);
646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_DSPC("absq_s.w $t6, $t7",       -237, t6, t7);
647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDQ.PH --------\n");
649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t3, $t4", 0x00045fb2, 0x00000286,
652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t1, $t5", 0x00002435, 0xffff3421,
654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
65986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
66186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
66386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t6, $t1", 0x0034c420, 0x00000555,
664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t3, $t4", 0x00000004, 1073741824,
666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t1, $t5", 0x80002435, 0x80003421,
668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t6, $t7, $t3", 0x76548000, 0x73468000,
670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
67186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t5, $t3, $t2", 0x80000000, 0x80620020,
672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t4, $t8", 0x00010001, 0xffffffff,
674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
67986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDQ_S.PH --------\n");
729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
73086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0x00045fb2, 0x00000286,
73286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0x00002435, 0xffff3421,
73486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
73686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
73886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
73986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t1, $t2", 0x00010001, 0xfa3259ff,
74086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
74186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0x7fff7322, 0x77ff7fff,
74286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
74386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0x0034c420, 0x00000555,
74486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
74586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0x00000004, 1073741824,
74686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
74786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0x80002435, 0x80003421,
74886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
74986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t4, $t8", 0x76548000, 0x73468000,
75086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
75186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t8, $t0", 0x80000000, 0x80620020,
75286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
75386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t6, $t1", 0x00010001, 0xffffffff,
75486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
75586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0x7fff7fff, 0x7fff7fff,
75686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
75786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0x0000c420, 0x00000555,
75886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
75986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0x000a2300, 0x83bc1900,
76086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0x80000000, 0x80000000,
76286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
76386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t4, $t8", 0xaaaaaaaa, 0x55555555,
76486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
76586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t8, $t0", 0x00000018, 0xffff2435,
76686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
76786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t6, $t1", 0xbabababa, 0xabababab,
76886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
76986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t1, $t2", 0xf0f0f0f0, 0xfc79b4d2,
77086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
77186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0xfbde3976, 0x00000000,
77286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
77386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0x23534870, 0x00354565,
77486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
77586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0x980b7cde, 0x00086755,
77686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
77786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0x00000018, 0x8f8f8f8f,
77886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
77986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t4, $t8", 0x92784656, 0xeeeeeeee,
78086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
78186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t8, $t0", 0xcacacaca, 0x1bdbdbdb,
78286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
78386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t6, $t1", 0xbacabaca, 0xdecadeca,
78486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
78586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t1, $t2", 0x12fadeb4, 0x93474bde,
78686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
78786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0x7c000790, 0xfc0007ff,
78886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
78986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0xffffffff, 0xffffffff,
79086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
79186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0xf2f4df1f, 0xcb4ab48f,
79286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
79386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0x435f909a, 0xaf8f7e18,
79486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
79586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t4, $t8", 0x2106ba5f, 0x87df4510,
79686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
79786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t8, $t0", 0x246a6376, 0xabf4e8e1,
79886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
79986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t6, $t1", 0x1046a1a3, 0xf4c0eeac,
80086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
80186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t1, $t2", 0x638ca515, 0x006a54f2,
80286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
80386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t3, $t4", 0xf63e7a9d, 0x79f74493,
80486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
80586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t1, $t5", 0xbd6845cd, 0x9c09e313,
806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
80786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t6, $t7, $t3", 0x234ba291, 0xbb64981c,
808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
80986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t5, $t3, $t2", 0x120934de, 0xad2c7601,
810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
81186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t2, $t4, $t8", 0xf5643908, 0xbaff3492,
812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
81386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t0, $t8, $t0", 0x88503331, 0xd60e34a2,
814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
81586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.ph $t4, $t6, $t1", 0x7b5309ac, 0xc5487201,
816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDQ_S.W --------\n");
819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t1, $t2", 0x00000000, 0x00000000,
82086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t3, $t4", 0x00045fb2, 0x00000286,
82286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t1, $t5", 0x00002435, 0xffff3421,
82486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
82686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t5, $t3, $t2", 0xf973437b, 0x80000000,
82886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
82986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
83086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
83186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
83286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
83386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t6, $t1", 0x0034c420, 0x00000555,
83486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t3, $t4", 0x00000004, 1073741824,
83686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t1, $t5", 0x80002435, 0x80003421,
83886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t6, $t7, $t3", 0x76548000, 0x73468000,
84086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
84186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t5, $t3, $t2", 0x80000000, 0x80620020,
84286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t4, $t8", 0x00010001, 0xffffffff,
84486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
84686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t6, $t1", 0x0000c420, 0x00000555,
84886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
84986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
85086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t3, $t4", 0x80000000, 0x80000000,
85286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
85486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t6, $t7, $t3", 0x00000018, 0xffff2435,
85686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t5, $t3, $t2", 0xbabababa, 0xabababab,
85886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
86086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t8, $t0", 0xfbde3976, 0x00000000,
86286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t6, $t1", 0x23534870, 0x00354565,
86486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t1, $t2", 0x980b7cde, 0x00086755,
86686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
86886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
87086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
87286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
87486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
87686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
87886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t6, $t1", 0xffffffff, 0xffffffff,
88086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
88286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
88486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
88686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
88886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
89086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
89286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
89486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addq_s.w $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDSC --------\n");
899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t1, $t2", 0x00000000, 0x00000000,
90086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t3, $t4", 0x00045fb2, 0x00000286,
90286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t1, $t5", 0x00002435, 0xffff3421,
90486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
90686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t5, $t3, $t2", 0xf973437b, 0x80000000,
90886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
90986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
91086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
91186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
91286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
91386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t6, $t1", 0x0034c420, 0x00000555,
91486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t3, $t4", 0x00000004, 1073741824,
91686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t1, $t5", 0x80002435, 0x80003421,
91886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t6, $t7, $t3", 0x76548000, 0x73468000,
92086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
92186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t5, $t3, $t2", 0x80000000, 0x80620020,
92286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t4, $t8", 0x00010001, 0xffffffff,
92486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
92686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t6, $t1", 0x0000c420, 0x00000555,
92886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
92986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
93086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t3, $t4", 0x80000000, 0x80000000,
93286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
93486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t6, $t7, $t3", 0x00000018, 0xffff2435,
93686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t5, $t3, $t2", 0xbabababa, 0xabababab,
93886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
94086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t8, $t0", 0xfbde3976, 0x00000000,
94286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t6, $t1", 0x23534870, 0x00354565,
94486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t1, $t2", 0x980b7cde, 0x00086755,
94686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
94886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
95086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
95286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
95486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
95686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
95886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t6, $t1", 0xffffffff, 0xffffffff,
96086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
96286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
96486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
96686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
96886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
97086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
97286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
97486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addsc $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDU.QB --------\n");
979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t1, $t2", 0x00000000, 0x00000000,
98086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t3, $t4", 0x00045fb2, 0x00000286,
98286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t1, $t5", 0x00002435, 0xffff3421,
98486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
98686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t5, $t3, $t2", 0xf973437b, 0x80000000,
98886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
98986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
99086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
99186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
99286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
99386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t6, $t1", 0x0034c420, 0x00000555,
99486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t3, $t4", 0x00000004, 1073741824,
99686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t1, $t5", 0x80002435, 0x80003421,
99886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t6, $t7, $t3", 0x76548000, 0x73468000,
100086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
100186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t5, $t3, $t2", 0x80000000, 0x80620020,
100286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t4, $t8", 0x00010001, 0xffffffff,
100486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
100686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t6, $t1", 0x0000c420, 0x00000555,
100886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
100986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
101086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t3, $t4", 0x80000000, 0x80000000,
101286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
101486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t6, $t7, $t3", 0x00000018, 0xffff2435,
101686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t5, $t3, $t2", 0xbabababa, 0xabababab,
101886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
102086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t8, $t0", 0xfbde3976, 0x00000000,
102286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t6, $t1", 0x23534870, 0x00354565,
102486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t1, $t2", 0x980b7cde, 0x00086755,
102686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
102886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
103086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
103286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
103486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
103686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
103886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t6, $t1", 0xffffffff, 0xffffffff,
104086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
104286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
104486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
104686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
104886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
105086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
105286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
105486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu.qb $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
1056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
1057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDU_S.QB --------\n");
1059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t1, $t2", 0x00000000, 0x00000000,
106086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t3, $t4", 0x00045fb2, 0x00000286,
106286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t1, $t5", 0x00002435, 0xffff3421,
106486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
106686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t5, $t3, $t2", 0xf973437b, 0x80000000,
106886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
106986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
107086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
107186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
107286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
107386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t6, $t1", 0x0034c420, 0x00000555,
107486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t3, $t4", 0x00000004, 1073741824,
107686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t1, $t5", 0x80002435, 0x80003421,
107886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t6, $t7, $t3", 0x76548000, 0x73468000,
108086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
108186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t5, $t3, $t2", 0x80000000, 0x80620020,
108286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t4, $t8", 0x00010001, 0xffffffff,
108486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
108686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t6, $t1", 0x0000c420, 0x00000555,
108886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
108986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
109086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t3, $t4", 0x80000000, 0x80000000,
109286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
109486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t6, $t7, $t3", 0x00000018, 0xffff2435,
109686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t5, $t3, $t2", 0xbabababa, 0xabababab,
109886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
110086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t8, $t0", 0xfbde3976, 0x00000000,
110286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t6, $t1", 0x23534870, 0x00354565,
110486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t1, $t2", 0x980b7cde, 0x00086755,
110686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
110886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
111086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
111286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
111486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
111686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
111886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t6, $t1", 0xffffffff, 0xffffffff,
112086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
112286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
112486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
112686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
112886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
113086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
113286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
113486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addu_s.qb $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
1136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
1137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- ADDWC --------\n");
1139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t1, $t2", 0x00000000, 0x00000000,
114086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t3, $t4", 0x00045fb2, 0x00000286,
114286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t1, $t5", 0x00002435, 0xffff3421,
114486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
114686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t5, $t3, $t2", 0xf973437b, 0x80000000,
114886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
114986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t4, $t8", 0x00010001, 0xfa3259ff,
115086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
115186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t8, $t0", 0x7fff7322, 0x77ff7fff,
115286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
115386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t6, $t1", 0x0034c420, 0x00000555,
115486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t3, $t4", 0x00000004, 1073741824,
115686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t1, $t5", 0x80002435, 0x80003421,
115886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t6, $t7, $t3", 0x76548000, 0x73468000,
116086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
116186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t5, $t3, $t2", 0x80000000, 0x80620020,
116286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t4, $t8", 0x00010001, 0xffffffff,
116486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
116686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t6, $t1", 0x0000c420, 0x00000555,
116886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
116986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t1, $t2", 0x000a2300, 0x83bc1900,
117086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t3, $t4", 0x80000000, 0x80000000,
117286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
117486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t6, $t7, $t3", 0x00000018, 0xffff2435,
117686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t5, $t3, $t2", 0xbabababa, 0xabababab,
117886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
118086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t8, $t0", 0xfbde3976, 0x00000000,
118286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t6, $t1", 0x23534870, 0x00354565,
118486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t1, $t2", 0x980b7cde, 0x00086755,
118686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
118886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
119086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
119286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
119486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
119686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
119886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t6, $t1", 0xffffffff, 0xffffffff,
120086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t6, t1);
1201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
120286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
1203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
120486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
1205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
120686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
1207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
120886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
1209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
121086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
1211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
121286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t4, t8);
1213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
121486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t8, t0);
1215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("addwc $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
1216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
1217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- BITREV --------\n");
121986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t1", 0x09ba4800, t0, t1);
1220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t3", 0x80003286, t2, t3);
1221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t4, $t1", 0xfabc2435, t4, t1);
1222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t6, $t7", 0x73468000, t6, t7);
122386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t5, $t3", 0x803c6900, t5, t3);
122486e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0xffad492f, t2, t4);
1225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t8", 0xfff45fff, t0, t8);
1226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0x00000555, t2, t4);
122786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t1", 0x0098f308, t0, t1);
1228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t3", 0x80000000, t2, t3);
1229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t4, $t1", 0x55555555, t4, t1);
1230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t6, $t7", 0xffff2435, t6, t7);
1231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t5, $t3", 0xabababab, t5, t3);
1232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0xfc79b4d2, t2, t4);
1233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t8", 0x00000000, t0, t8);
1234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0x00354565, t2, t4);
1235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t1", 0x00086755, t0, t1);
1236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t3", 0x8f8f8f8f, t2, t3);
1237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t4, $t1", 0xeeeeeeee, t4, t1);
1238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t6, $t7", 0x1bdbdbdb, t6, t7);
1239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t5, $t3", 0xdecadeca, t5, t3);
1240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0x93474bde, t2, t4);
1241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t8", 0xfc0007ff, t0, t8);
1242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0xffffffff, t2, t4);
1243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t1", 0xcb4ab48f, t0, t1);
1244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t3", 0xaf8f7e18, t2, t3);
1245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t4, $t1", 0x87df4510, t4, t1);
1246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t6, $t7", 0xabf4e8e1, t6, t7);
1247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t5, $t3", 0xf4c0eeac, t5, t3);
1248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0x006a54f2, t2, t4);
1249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t0, $t8", 0x79f74493, t0, t8);
1250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("bitrev $t2, $t4", 0x9c09e313, t2, t4);
1251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- BPOSGE32 --------\n");
1253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 0, 0, v0, t1);
1254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 1, 1, v1, t0);
1255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 2, 32, a0, t1);
1256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 3, 17, a1, t2);
1257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 4, 8, a2, t0);
1258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 5, 60, a3, t0);
1259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 6, 0x5, t0, t1);
1260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 7, -3, t1, t2);
1261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 8, 125, t2, t3);
1262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 9, 7, t3, t4);
1263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 10, 42, t4, t5);
1264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 11, 53, t5, t6);
1265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 12, 99, t6, t7);
1266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 13, 12, s0, t1);
1267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 14, 4, v0, t9);
1268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_BPOSGE32("bposge32", 15, 6, t9, t8);
1269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMP.EQ.PH --------\n");
1271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t1", 0x00000000, 0x0fffffff, t0, t1);
1272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t3", 0x00045fb2, 0x00000286, t2, t3);
1273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t1", 0xfabc2435, 0xfabc3421, t4, t1);
1274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t6, $t7", 0x07654cb8, 0x73464cb8, t6, t7);
1275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t5, $t3", 0xf973437b, 0x80000000, t5, t3);
1276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t4", 0x00010001, 0xffffffff, t2, t4);
1277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0, t8);
1278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t6", 0x0000c420, 0x00000555, t4, t6);
1279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t1", 0x00000000, 0x00000000, t0, t1);
1280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t3", 0x80000000, 0x80000000, t2, t3);
1281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t1", 0xaaaaaaaa, 0x55555555, t4, t1);
1282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t6, $t7", 0x00000018, 0xffff2435, t6, t7);
1283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t5, $t3", 0xbabababa, 0xabababab, t5, t3);
1284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2, t4);
1285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t8", 0xfbde3976, 0x00000000, t0, t8);
1286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t6", 0x23534870, 0x00354565, t4, t6);
1287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t1", 0x980b7cde, 0x00086755, t0, t1);
1288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t3", 0x00000018, 0x8f8f8f8f, t2, t3);
1289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t1", 0x92784656, 0xeeeeeeee, t4, t1);
1290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6, t7);
1291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t5, $t3", 0xbacabaca, 0xdecadeca, t5, t3);
1292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t4", 0x12fadeb4, 0x93474bde, t2, t4);
1293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t8", 0x7c000790, 0xfc0007ff, t0, t8);
1294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t6", 0xffffffff, 0xffffffff, t4, t6);
1295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0, t1);
1296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t3", 0x435f909a, 0xaf8f7e18, t2, t3);
1297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t1", 0x2106ba5f, 0x87df4510, t4, t1);
1298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t6, $t7", 0x246a6376, 0xabf4e8e1, t6, t7);
1299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5, t3);
1300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t2, $t4", 0x638ca515, 0x006a54f2, t2, t4);
1301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t0, $t8", 0xf63e7a9d, 0x79f74493, t0, t8);
1302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.eq.ph $t4, $t6", 0xbd6845cd, 0x9c09e313, t4, t6);
1303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMP.LT.PH --------\n");
1305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t1", 0x00000000, 0x0fffffff, t0, t1);
1306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t3", 0x00045fb2, 0x00000286, t2, t3);
1307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t1", 0xfabc2435, 0xfabc3421, t4, t1);
1308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t6, $t7", 0x07654cb8, 0x73464cb8, t6, t7);
1309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t5, $t3", 0xf973437b, 0x80000000, t5, t3);
1310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t4", 0x00010001, 0xffffffff, t2, t4);
1311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0, t8);
1312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t6", 0x0000c420, 0x00000555, t4, t6);
1313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t1", 0x00000000, 0x00000000, t0, t1);
1314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t3", 0x80000000, 0x80000000, t2, t3);
1315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t1", 0xaaaaaaaa, 0x55555555, t4, t1);
1316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t6, $t7", 0x00000018, 0xffff2435, t6, t7);
1317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t5, $t3", 0xbabababa, 0xabababab, t5, t3);
1318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2, t4);
1319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t8", 0xfbde3976, 0x00000000, t0, t8);
1320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t6", 0x23534870, 0x00354565, t4, t6);
1321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t1", 0x980b7cde, 0x00086755, t0, t1);
1322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t3", 0x00000018, 0x8f8f8f8f, t2, t3);
1323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t1", 0x92784656, 0xeeeeeeee, t4, t1);
1324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6, t7);
1325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t5, $t3", 0xbacabaca, 0xdecadeca, t5, t3);
1326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t4", 0x12fadeb4, 0x93474bde, t2, t4);
1327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t8", 0x7c000790, 0xfc0007ff, t0, t8);
1328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t6", 0xffffffff, 0xffffffff, t4, t6);
1329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0, t1);
1330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t3", 0x435f909a, 0xaf8f7e18, t2, t3);
1331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t1", 0x2106ba5f, 0x87df4510, t4, t1);
1332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t6, $t7", 0x246a6376, 0xabf4e8e1, t6, t7);
1333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5, t3);
1334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t2, $t4", 0x638ca515, 0x006a54f2, t2, t4);
1335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t0, $t8", 0xf63e7a9d, 0x79f74493, t0, t8);
1336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.lt.ph $t4, $t6", 0xbd6845cd, 0x9c09e313, t4, t6);
1337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMP.LE.PH --------\n");
1339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t1", 0x00000000, 0x0fffffff, t0, t1);
1340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t3", 0x00045fb2, 0x00000286, t2, t3);
1341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t1", 0xfabc2435, 0xfabc3421, t4, t1);
1342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t6, $t7", 0x07654cb8, 0x73464cb8, t6, t7);
1343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t5, $t3", 0xf973437b, 0x80000000, t5, t3);
1344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t4", 0x00010001, 0xffffffff, t2, t4);
1345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0, t8);
1346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t6", 0x0000c420, 0x00000555, t4, t6);
1347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t1", 0x00000000, 0x00000000, t0, t1);
1348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t3", 0x80000000, 0x80000000, t2, t3);
1349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t1", 0xaaaaaaaa, 0x55555555, t4, t1);
1350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t6, $t7", 0x00000018, 0xffff2435, t6, t7);
1351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t5, $t3", 0xbabababa, 0xabababab, t5, t3);
1352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2, t4);
1353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t8", 0xfbde3976, 0x00000000, t0, t8);
1354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t6", 0x23534870, 0x00354565, t4, t6);
1355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t1", 0x980b7cde, 0x00086755, t0, t1);
1356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t3", 0x00000018, 0x8f8f8f8f, t2, t3);
1357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t1", 0x92784656, 0xeeeeeeee, t4, t1);
1358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6, t7);
1359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t5, $t3", 0xbacabaca, 0xdecadeca, t5, t3);
1360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t4", 0x12fadeb4, 0x93474bde, t2, t4);
1361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t8", 0x7c000790, 0xfc0007ff, t0, t8);
1362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t6", 0xffffffff, 0xffffffff, t4, t6);
1363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0, t1);
1364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t3", 0x435f909a, 0xaf8f7e18, t2, t3);
1365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t1", 0x2106ba5f, 0x87df4510, t4, t1);
1366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t6, $t7", 0x246a6376, 0xabf4e8e1, t6, t7);
1367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5, t3);
1368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t2, $t4", 0x638ca515, 0x006a54f2, t2, t4);
1369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t0, $t8", 0xf63e7a9d, 0x79f74493, t0, t8);
1370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmp.le.ph $t4, $t6", 0xbd6845cd, 0x9c09e313, t4, t6);
1371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPGU.EQ.QB --------\n");
137386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t1, $t2", 0x00672300,
1374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t3, $t4", 0x00045fb2,
1376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000286, t2, t3, t4);
1377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t1, $t5", 0x00002435,
1378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff3421, t4, t1, t5);
1379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t6, $t7, $t3", 0x07654cb8,
1380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
1381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t5, $t3, $t2", 0xf973437b,
1382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t4, $t8", 0x00010001,
138486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0xf08b4631, t2, t4, t8);
138586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t8, $t0", 0x5cbd891a,
1386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t6, $t1", 0x0000c420,
138886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0x0ab64555, t4, t6, t1);
1389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t3, $t4", 0x00000004,
1390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, t2, t3, t4);
1391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t1, $t5", 0x80002435,
1392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, t4, t1, t5);
1393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t6, $t7, $t3", 0x76548000,
1394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, t6, t7, t3);
139586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t5, $t3, $t2", 0x8007c560,
1396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t4, $t8", 0x00010001,
1398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t2, t4, t8);
1399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t8, $t0", 0x7fff7fff,
1400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t6, $t1", 0x0000c420,
1402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
1403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t1, $t2", 0x00000000,
1404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t3, $t4", 0x80000000,
1406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
1407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t1, $t5", 0xaaaaaaaa,
1408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
1409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t6, $t7, $t3", 0x00000018,
1410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
1411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t5, $t3, $t2", 0xbabababa,
1412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
1413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t4, $t8", 0xf0f0f0f0,
1414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
1415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t8, $t0", 0xfbde3976,
1416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
1417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t6, $t1", 0x23534870,
1418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
1419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t1, $t2", 0x980b7cde,
1420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
1421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t3, $t4", 0x00000018,
1422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
1423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t1, $t5", 0x92784656,
1424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
1425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t6, $t7, $t3", 0xcacacaca,
1426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
1427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t5, $t3, $t2", 0xbacabaca,
1428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
1429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t4, $t8", 0x12fadeb4,
1430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
1431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t8, $t0", 0x7c000790,
1432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
1433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t6, $t1", 0xffffffff,
1434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
1435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t1, $t2", 0xf2f4df1f,
1436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
1437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t3, $t4", 0x435f909a,
1438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
1439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t1, $t5", 0x2106ba5f,
1440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
1441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t6, $t7, $t3", 0x246a6376,
1442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
1443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t5, $t3, $t2", 0x1046a1a3,
1444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
1445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t2, $t4, $t8", 0x638ca515,
1446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
1447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t0, $t8, $t0", 0xf63e7a9d,
1448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
1449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.eq.qb $t4, $t6, $t1", 0xbd6845cd,
1450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
1451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPGU.LT.QB --------\n");
145386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t1, $t2", 0x00672300,
1454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t3, $t4", 0x00045fb2,
1456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000286, t2, t3, t4);
1457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t1, $t5", 0x00002435,
1458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff3421, t4, t1, t5);
1459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t6, $t7, $t3", 0x07654cb8,
1460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
1461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t5, $t3, $t2", 0xf973437b,
1462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t4, $t8", 0x00010001,
146486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0xf08b4631, t2, t4, t8);
146586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t8, $t0", 0x5cbd891a,
1466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t6, $t1", 0x0000c420,
146886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0x0ab64555, t4, t6, t1);
1469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t3, $t4", 0x00000004,
1470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, t2, t3, t4);
1471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t1, $t5", 0x80002435,
1472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, t4, t1, t5);
1473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t6, $t7, $t3", 0x76548000,
1474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, t6, t7, t3);
147586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t5, $t3, $t2", 0x8007c560,
1476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t4, $t8", 0x00010001,
1478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t2, t4, t8);
1479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t8, $t0", 0x7fff7fff,
1480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t6, $t1", 0x0000c420,
1482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
1483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t1, $t2", 0x00000000,
1484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t3, $t4", 0x80000000,
1486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
1487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t1, $t5", 0xaaaaaaaa,
1488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
1489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t6, $t7, $t3", 0x00000018,
1490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
1491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t5, $t3, $t2", 0xbabababa,
1492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
1493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t4, $t8", 0xf0f0f0f0,
1494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
1495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t8, $t0", 0xfbde3976,
1496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
1497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t6, $t1", 0x23534870,
1498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
1499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t1, $t2", 0x980b7cde,
1500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
1501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t3, $t4", 0x00000018,
1502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
1503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t1, $t5", 0x92784656,
1504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
1505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t6, $t7, $t3", 0xcacacaca,
1506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
1507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t5, $t3, $t2", 0xbacabaca,
1508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
1509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t4, $t8", 0x12fadeb4,
1510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
1511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t8, $t0", 0x7c000790,
1512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
1513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t6, $t1", 0xffffffff,
1514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
1515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t1, $t2", 0xf2f4df1f,
1516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
1517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t3, $t4", 0x435f909a,
1518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
1519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t1, $t5", 0x2106ba5f,
1520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
1521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t6, $t7, $t3", 0x246a6376,
1522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
1523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t5, $t3, $t2", 0x1046a1a3,
1524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
1525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t2, $t4, $t8", 0x638ca515,
1526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
1527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t0, $t8, $t0", 0xf63e7a9d,
1528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
1529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.lt.qb $t4, $t6, $t1", 0xbd6845cd,
1530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
1531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPGU.LE.QB --------\n");
153386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t1, $t2", 0x00672300,
1534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t3, $t4", 0x00045fb2,
1536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000286, t2, t3, t4);
1537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t1, $t5", 0x00002435,
1538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff3421, t4, t1, t5);
1539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t6, $t7, $t3", 0x07654cb8,
1540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
1541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t5, $t3, $t2", 0xf973437b,
1542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t4, $t8", 0x00010001,
154486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0xf08b4631, t2, t4, t8);
154586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t8, $t0", 0x5cbd891a,
1546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t6, $t1", 0x0000c420,
154886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                               0x0ab64555, t4, t6, t1);
1549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t3, $t4", 0x00000004,
1550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, t2, t3, t4);
1551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t1, $t5", 0x80002435,
1552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, t4, t1, t5);
1553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t6, $t7, $t3", 0x76548000,
1554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, t6, t7, t3);
155586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t5, $t3, $t2", 0x8007c560,
1556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
1557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t4, $t8", 0x00010001,
1558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t2, t4, t8);
1559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t8, $t0", 0x7fff7fff,
1560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
1561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t6, $t1", 0x0000c420,
1562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
1563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t1, $t2", 0x00000000,
1564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
1565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t3, $t4", 0x80000000,
1566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
1567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t1, $t5", 0xaaaaaaaa,
1568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
1569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t6, $t7, $t3", 0x00000018,
1570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
1571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t5, $t3, $t2", 0xbabababa,
1572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
1573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t4, $t8", 0xf0f0f0f0,
1574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
1575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t8, $t0", 0xfbde3976,
1576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
1577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t6, $t1", 0x23534870,
1578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
1579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t1, $t2", 0x980b7cde,
1580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
1581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t3, $t4", 0x00000018,
1582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
1583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t1, $t5", 0x92784656,
1584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
1585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t6, $t7, $t3", 0xcacacaca,
1586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
1587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t5, $t3, $t2", 0xbacabaca,
1588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
1589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t4, $t8", 0x12fadeb4,
1590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
1591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t8, $t0", 0x7c000790,
1592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
1593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t6, $t1", 0xffffffff,
1594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
1595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t1, $t2", 0xf2f4df1f,
1596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
1597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t3, $t4", 0x435f909a,
1598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
1599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t1, $t5", 0x2106ba5f,
1600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
1601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t6, $t7, $t3", 0x246a6376,
1602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
1603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t5, $t3, $t2", 0x1046a1a3,
1604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
1605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t2, $t4, $t8", 0x638ca515,
1606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
1607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t0, $t8, $t0", 0xf63e7a9d,
1608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
1609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("cmpgu.le.qb $t4, $t6, $t1", 0xbd6845cd,
1610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
1611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPU.EQ.QB --------\n");
1613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t1", 0x00000000, 0x0fffffff, t0,
1614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t3", 0x00005fb2, 0x00000286, t2,
1616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t1", 0xfabc2435, 0xfabc3421, t4,
1618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t6, $t7", 0x07654cb8, 0x73464cb8, t6,
1620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t5, $t3", 0xf973437b, 0x80734300, t5,
1622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t4", 0x00010001, 0xffffffff, t2,
1624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0,
1626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t6", 0x0000c420, 0x0000c420, t4,
1628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t1", 0x00000000, 0x00000000, t0,
1630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t3", 0x80000000, 0x80000000, t2,
1632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t1", 0xaaaaaaaa, 0x55555555, t4,
1634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t6, $t7", 0x00000018, 0xffff2435, t6,
1636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t5, $t3", 0xbabababa, 0xabababab, t5,
1638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2,
1640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t8", 0xfbde3976, 0x00000000, t0,
1642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t6", 0x23534870, 0x00354565, t4,
1644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t1", 0x980b7cde, 0x00086755, t0,
1646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t3", 0x00000018, 0x8f8f8f8f, t2,
1648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t1", 0x92784656, 0xeeeeeeee, t4,
1650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6,
1652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t5, $t3", 0xbacabaca, 0xdecadeca, t5,
1654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t4", 0x12fadeb4, 0x93474bde, t2,
1656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t8", 0x7c000790, 0xfc0007ff, t0,
1658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t6", 0xffffffff, 0xffffffff, t4,
1660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0,
1662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t3", 0x435f909a, 0xaf8f7e18, t2,
1664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t1", 0x2106ba5f, 0x87df4510, t4,
1666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t6, $t7", 0x246a6376, 0xabf4e8e1, t6,
1668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5,
1670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t2, $t4", 0x638ca515, 0x006a54f2, t2,
1672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t0, $t8", 0xf63e7a9d, 0x79f74493, t0,
1674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.eq.qb $t4, $t6", 0xbd6845cd, 0x9c09e313, t4,
1676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPU.LT.QB --------\n");
1679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t1", 0x00000000, 0x0fffffff, t0,
1680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t3", 0x00045fb2, 0x01080286, t2,
1682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t1", 0xfabc2435, 0xfabc3421, t4,
1684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t6, $t7", 0x07654cb8, 0x73464cb8, t6,
1686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t5, $t3", 0xf973437b, 0x80000000, t5,
1688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t4", 0xffffffff, 0x00010001, t2,
1690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0,
1692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t6", 0x0000c420, 0x00000555, t4,
1694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t1", 0x00000000, 0x00000000, t0,
1696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t3", 0x80000000, 0x80000000, t2,
1698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t1", 0xaaaaaaaa, 0x55555555, t4,
1700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t6, $t7", 0x00000018, 0xffff2435, t6,
1702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t5, $t3", 0xbabababa, 0xabababab, t5,
1704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2,
1706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t8", 0xfbde3976, 0x00000000, t0,
1708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t6", 0x23534870, 0x00354565, t4,
1710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t1", 0x980b7cde, 0x00086755, t0,
1712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t3", 0x00000018, 0x8f8f8f8f, t2,
1714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t1", 0x92784656, 0xeeeeeeee, t4,
1716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6,
1718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t5, $t3", 0xbacabaca, 0xdecadeca, t5,
1720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t4", 0x12fadeb4, 0x93474bde, t2,
1722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t8", 0x7c000790, 0xfc0007ff, t0,
1724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t6", 0xffffffff, 0xffffffff, t4,
1726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0,
1728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t3", 0x435f909a, 0xaf8f7e18, t2,
1730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t1", 0x2106ba5f, 0x87df4510, t4,
1732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t6, $t7", 0x246a6376, 0xabf4e8e1, t6,
1734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5,
1736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t2, $t4", 0x638ca515, 0x006a54f2, t2,
1738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t0, $t8", 0xf63e7a9d, 0x79f74493, t0,
1740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.lt.qb $t4, $t6", 0xbd6845cd, 0x9c09e313, t4,
1742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- CMPU.LE.QB --------\n");
1745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t1", 0x00000000, 0x0fffffff, t0,
1746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t3", 0x00045fb2, 0x01040286, t2,
1748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t1", 0xfabc2435, 0xfabc3421, t4,
1750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t6, $t7", 0x07654cb8, 0x73464cb8, t6,
1752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1753bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t5, $t3", 0xf973437b, 0x80000000, t5,
1754bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1755bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t4", 0x00010001, 0xffffffff, t2,
1756bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1757bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t8", 0x7fff7fff, 0x7fff7fff, t0,
1758bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1759bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t6", 0x0000c420, 0x00000555, t4,
1760bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t1", 0x00000000, 0x00000000, t0,
1762bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t3", 0x80000000, 0x80000000, t2,
1764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t1", 0xaaaaaaaa, 0x55555555, t4,
1766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t6, $t7", 0x00000018, 0xffff2435, t6,
1768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t5, $t3", 0xbabababa, 0xabababab, t5,
1770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t4", 0xf0f0f0f0, 0xfc79b4d2, t2,
1772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t8", 0xfbde3976, 0x00000000, t0,
1774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t6", 0x23534870, 0x00354565, t4,
1776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t1", 0x980b7cde, 0x00086755, t0,
1778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t3", 0x00000018, 0x8f8f8f8f, t2,
1780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t1", 0x92784656, 0xeeeeeeee, t4,
1782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t6, $t7", 0xcacacaca, 0x1bdbdbdb, t6,
1784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t5, $t3", 0xbacabaca, 0xdecadeca, t5,
1786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t4", 0x12fadeb4, 0x93474bde, t2,
1788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t8", 0x7c000790, 0xfc0007ff, t0,
1790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t6", 0xffffffff, 0xffffffff, t4,
1792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t1", 0xf2f4df1f, 0xcb4ab48f, t0,
1794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t3", 0x435f909a, 0xaf8f7e18, t2,
1796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t1", 0x2106ba5f, 0x87df4510, t4,
1798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t1);
1799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t6, $t7", 0x246a6376, 0xabf4e8e1, t6,
1800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t7);
1801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t5, $t3", 0x1046a1a3, 0xf4c0eeac, t5,
1802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t3);
1803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t2, $t4", 0x638ca515, 0x006a54f2, t2,
1804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t4);
1805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t0, $t8", 0xf63e7a9d, 0x79f74493, t0,
1806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t8);
1807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RS_RT_DSPC("cmpu.le.qb $t4, $t6", 0xbd6845cd, 0x9c09e313, t4,
1808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                          t6);
1809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPAQ_S.W.PH --------\n");
1811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x00000000,
1812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
1813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x00000004,
1814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
1815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x80002435,
1816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
1817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x76548000,
1818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
1819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x80000000,
1820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
1821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00010001,
1822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
1823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x7fff7fff,
1824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
1825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x0000c420,
1826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
1827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x00000000,
1828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
1829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x80000000,
1830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
1831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
1832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
1833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00000018,
1834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
1835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbabababa,
1836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
1837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
1838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
1839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t4, $t5", "ac3", 0xfbde3976,
1840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
1841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x23534870,
1842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
1843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x980b7cde,
1844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
1845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000018,
1846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
1847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x92784656,
1848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
1849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0xcacacaca,
1850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
1851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbacabaca,
1852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
1853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x12fadeb4,
1854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
1855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x7c000790,
1856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
1857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffffffff,
1858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
1859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x24a3291e,
1860bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
1861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0xdd91eebf,
1862bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
1863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
1864bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
1865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0xbc1083e8,
1866bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
1867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0xa617cc31,
1868bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
1869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
1870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
1871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x31458a23,
1872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
1873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x848af791,
1874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
1875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0xda3bacdc,
1876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
1877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x649d5cbd,
1878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
1879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t4, $t1", "ac2", 0xc0c8c881,
1880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
1881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x7dd81a20,
1882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
1883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x7fff7fff,
1884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
1885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00000555,
1886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
1887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x00000000,
1888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
1889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x80000000,
1890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
1891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x55555555,
1892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
1893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffff8000,
1894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
1895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t0, $t1", "ac0", 0xabababab,
1896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
1897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
1898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
1899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000000,
1900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
1901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x00354565,
1902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
1903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00086755,
1904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
1905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xffff8000,
1906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
1907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
1909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPAQ_SA.L.W -------- \n");
1910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t4, $t5", "ac3", 0x00000000,
1911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
1912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0x00000004,
1913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
1914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0x80002435,
1915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
1916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0x76548000,
1917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
1918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0x80000000,
1919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
1920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0x00010001,
1921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
1922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0x7fff7fff,
1923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
1924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0x0000c420,
1925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
1926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0x00000000,
1927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
1928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t4, $t1", "ac2", 0x80000000,
1929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
1930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
1931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
1932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0x00000018,
1933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
1934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0xbabababa,
1935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
1936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
1937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
1938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t4, $t5", "ac3", 0xfbde3976,
1939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
1940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0x23534870,
1941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
1942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0x980b7cde,
1943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
1944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t4, $t1", "ac2", 0x00000018,
1945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
1946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0x92784656,
1947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
1948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0xcacacaca,
1949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
1950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0xbacabaca,
1951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
1952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0x12fadeb4,
1953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
1954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t4, $t5", "ac3", 0x7c000790,
1955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
1956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0xffffffff,
1957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
1958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0x24a3291e,
1959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
1960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0xdd91eebf,
1961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
1962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
1963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
1964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0xbc1083e8,
1965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
1966bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0xa617cc31,
1967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
1968bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
1969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
1970bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0x31458a23,
1971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
1972bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t4, $t5", "ac3", 0x848af791,
1973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
1974bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0xda3bacdc,
1975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
1976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0x649d5cbd,
1977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
1978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t4, $t1", "ac2", 0xc0c8c881,
1979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
1980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0x7dd81a20,
1981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
1982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0x7fff7fff,
1983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
1984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0x00000555,
1985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
1986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0x00000000,
1987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
1988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t4, $t5", "ac3", 0x80000000,
1989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
1990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0x55555555,
1991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
1992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t0, $t8", "ac2", 0xffff8000,
1993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
1994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t0, $t1", "ac0", 0xabababab,
1995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
1996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
1997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
1998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac2, $t4, $t1", "ac2", 0x00000000,
1999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac3, $t6, $t7", "ac3", 0x00354565,
2001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac0, $t5, $t3", "ac0", 0x00086755,
2003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpaq_sa.l.w $ac1, $t2, $t4", "ac1", 0xffff8000,
2005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPAU.H.QBL --------\n");
2009bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t4, $t5", "ac3", 0x00000000,
2010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
2011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0x00000004,
2012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
2013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0x80002435,
2014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
2015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0x76548000,
2016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0x80000000,
2018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
2019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0x00010001,
2020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0x0000c420,
2024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0x00000000,
2026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
2027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t4, $t1", "ac2", 0x80000000,
2028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
2031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0x00000018,
2032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0xbabababa,
2034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
2035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t4, $t5", "ac3", 0xfbde3976,
2038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
2039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0x23534870,
2040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
2041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0x980b7cde,
2042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
2043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t4, $t1", "ac2", 0x00000018,
2044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0x92784656,
2046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0xcacacaca,
2048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0xbacabaca,
2050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t4, $t5", "ac3", 0x7c000790,
2054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0xffffffff,
2056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0x24a3291e,
2058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0xa617cc31,
2066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2068bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2069bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0x31458a23,
2070bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2071bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t4, $t5", "ac3", 0x848af791,
2072bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2073bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2074bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2076bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2078bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2080bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2081bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2082bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0x00000555,
2084bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0x00000000,
2086bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t4, $t5", "ac3", 0x80000000,
2088bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0x55555555,
2090bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t0, $t8", "ac2", 0xffff8000,
2092bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t0, $t1", "ac0", 0xabababab,
2094bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
2095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2096bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac2, $t4, $t1", "ac2", 0x00000000,
2098bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac3, $t6, $t7", "ac3", 0x00354565,
2100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac0, $t5, $t3", "ac0", 0x00086755,
2102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbl $ac1, $t2, $t4", "ac1", 0xffff8000,
2104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPAU.H.QBR --------\n");
2107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t4, $t5", "ac3", 0x00000000,
2108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
2109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0x00000004,
2110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
2111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0x80002435,
2112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
2113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0x76548000,
2114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0x80000000,
2116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
2117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0x00010001,
2118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0x0000c420,
2122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0x00000000,
2124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
2125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t4, $t1", "ac2", 0x80000000,
2126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
2129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0x00000018,
2130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0xbabababa,
2132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
2133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t4, $t5", "ac3", 0xfbde3976,
2136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
2137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0x23534870,
2138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
2139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0x980b7cde,
2140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
2141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t4, $t1", "ac2", 0x00000018,
2142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0x92784656,
2144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0xcacacaca,
2146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0xbacabaca,
2148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t4, $t5", "ac3", 0x7c000790,
2152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0xffffffff,
2154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0x24a3291e,
2156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0xa617cc31,
2164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0x31458a23,
2168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t4, $t5", "ac3", 0x848af791,
2170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0x00000555,
2182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0x00000000,
2184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t4, $t5", "ac3", 0x80000000,
2186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0x55555555,
2188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t0, $t8", "ac2", 0xffff8000,
2190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t0, $t1", "ac0", 0xabababab,
2192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
2193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac2, $t4, $t1", "ac2", 0x00000000,
2196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac3, $t6, $t7", "ac3", 0x00354565,
2198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac0, $t5, $t3", "ac0", 0x00086755,
2200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpau.h.qbr $ac1, $t2, $t4", "ac1", 0xffff8000,
2202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPSQ_S.W.PH --------\n");
2205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t4, $t5", "ac3", 0x00000000,
2206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
2207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0x00000004,
2208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
2209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0x80002435,
2210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
2211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0x76548000,
2212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0x80000000,
2214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
2215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00010001,
2216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0x0000c420,
2220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0x00000000,
2222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
2223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t4, $t1", "ac2", 0x80000000,
2224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
2227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00000018,
2228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbabababa,
2230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
2231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t4, $t5", "ac3", 0xfbde3976,
2234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
2235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0x23534870,
2236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
2237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0x980b7cde,
2238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
2239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000018,
2240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0x92784656,
2242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0xcacacaca,
2244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbacabaca,
2246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t4, $t5", "ac3", 0x7c000790,
2250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffffffff,
2252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0x24a3291e,
2254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0xa617cc31,
2262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0x31458a23,
2266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t4, $t5", "ac3", 0x848af791,
2268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00000555,
2280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0x00000000,
2282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t4, $t5", "ac3", 0x80000000,
2284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0x55555555,
2286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffff8000,
2288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t0, $t1", "ac0", 0xabababab,
2290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
2291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000000,
2294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac3, $t6, $t7", "ac3", 0x00354565,
2296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00086755,
2298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_s.w.ph $ac1, $t2, $t4", "ac1", 0xffff8000,
2300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPSQ_SA.L.W --------\n");
2304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t4, $t5", "ac3", 0x00000000,
2305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
2306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0x00000004,
2307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
2308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0x80002435,
2309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
2310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0x76548000,
2311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0x80000000,
2313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
2314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0x00010001,
2315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0x0000c420,
2319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0x00000000,
2321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
2322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t4, $t1", "ac2", 0x80000000,
2323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
2326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0x00000018,
2327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0xbabababa,
2329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
2330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t4, $t5", "ac3", 0xfbde3976,
2333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
2334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0x23534870,
2335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
2336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0x980b7cde,
2337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
2338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t4, $t1", "ac2", 0x00000018,
2339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0x92784656,
2341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0xcacacaca,
2343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0xbacabaca,
2345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t4, $t5", "ac3", 0x7c000790,
2349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0xffffffff,
2351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0x24a3291e,
2353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0xa617cc31,
2361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0x31458a23,
2365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t4, $t5", "ac3", 0x848af791,
2367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0x00000555,
2379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0x00000000,
2381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t4, $t5", "ac3", 0x80000000,
2383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0x55555555,
2385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t0, $t8", "ac2", 0xffff8000,
2387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t0, $t1", "ac0", 0xabababab,
2389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
2390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac2, $t4, $t1", "ac2", 0x00000000,
2393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac3, $t6, $t7", "ac3", 0x00354565,
2395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac0, $t5, $t3", "ac0", 0x00086755,
2397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("dpsq_sa.l.w $ac1, $t2, $t4", "ac1", 0xffff8000,
2399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPSU.H.QBL --------\n");
2403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t4, $t5", "ac3", 0x00000000,
2404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
2405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0x00000004,
2406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
2407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0x80002435,
2408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
2409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0x76548000,
2410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0x80000000,
2412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
2413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0x00010001,
2414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0x0000c420,
2418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0x00000000,
2420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
2421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t4, $t1", "ac2", 0x80000000,
2422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
2425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0x00000018,
2426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0xbabababa,
2428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
2429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t4, $t5", "ac3", 0xfbde3976,
2432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
2433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0x23534870,
2434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
2435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0x980b7cde,
2436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
2437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t4, $t1", "ac2", 0x00000018,
2438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0x92784656,
2440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0xcacacaca,
2442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0xbacabaca,
2444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t4, $t5", "ac3", 0x7c000790,
2448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0xffffffff,
2450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0x24a3291e,
2452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0xa617cc31,
2460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0x31458a23,
2464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t4, $t5", "ac3", 0x848af791,
2466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0x00000555,
2478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0x00000000,
2480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t4, $t5", "ac3", 0x80000000,
2482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0x55555555,
2484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t0, $t8", "ac2", 0xffff8000,
2486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t0, $t1", "ac0", 0xabababab,
2488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
2489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac2, $t4, $t1", "ac2", 0x00000000,
2492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac3, $t6, $t7", "ac3", 0x00354565,
2494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac0, $t5, $t3", "ac0", 0x00086755,
2496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbl $ac1, $t2, $t4", "ac1", 0xffff8000,
2498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- DPSU.H.QBR --------\n");
2501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t4, $t5", "ac3", 0x00000000,
2502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
2503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0x00000004,
2504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
2505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0x80002435,
2506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
2507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0x76548000,
2508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
2509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0x80000000,
2510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
2511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0x00010001,
2512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
2513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0x7fff7fff,
2514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
2515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0x0000c420,
2516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
2517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0x00000000,
2518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
2519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t4, $t1", "ac2", 0x80000000,
2520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
2521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
2522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
2523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0x00000018,
2524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
2525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0xbabababa,
2526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
2527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
2528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
2529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t4, $t5", "ac3", 0xfbde3976,
2530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
2531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0x23534870,
2532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
2533bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0x980b7cde,
2534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
2535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t4, $t1", "ac2", 0x00000018,
2536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
2537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0x92784656,
2538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
2539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0xcacacaca,
2540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
2541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0xbacabaca,
2542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
2543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0x12fadeb4,
2544bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
2545bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t4, $t5", "ac3", 0x7c000790,
2546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
2547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0xffffffff,
2548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
2549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0x24a3291e,
2550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
2551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0xdd91eebf,
2552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
2553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
2554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
2555bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0xbc1083e8,
2556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
2557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0xa617cc31,
2558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
2559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
2560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
2561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0x31458a23,
2562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
2563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t4, $t5", "ac3", 0x848af791,
2564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
2565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0xda3bacdc,
2566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
2567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0x649d5cbd,
2568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
2569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t4, $t1", "ac2", 0xc0c8c881,
2570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
2571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0x7dd81a20,
2572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
2573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0x7fff7fff,
2574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
2575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0x00000555,
2576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
2577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0x00000000,
2578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
2579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t4, $t5", "ac3", 0x80000000,
2580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
2581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0x55555555,
2582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
2583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t0, $t8", "ac2", 0xffff8000,
2584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
2585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t0, $t1", "ac0", 0xabababab,
2586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
2587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
2588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
2589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac2, $t4, $t1", "ac2", 0x00000000,
2590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
2591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac3, $t6, $t7", "ac3", 0x00354565,
2592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
2593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac0, $t5, $t3", "ac0", 0x00086755,
2594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
2595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("dpsu.h.qbr $ac1, $t2, $t4", "ac1", 0xffff8000,
2596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
2597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTP --------\n");
2599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t1, $ac0, 31", "ac0", t1, 0x80000000, 0x80000000, 31,
2600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   42);
2601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t2, $ac1, 17", "ac1", t2, (1<<31)+1, (1 <<31)+2, 17,
2602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31);
2603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t3, $ac2,  4", "ac2", t3, 0x00000000, 0x00000006,  4,
2604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   55);
2605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t4, $ac3, 12", "ac3", t4, 0x00000004, 1073741824, 12,
2606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22);
2607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t5, $ac0,  3", "ac0", t5, 0x7fffffff, 0x7fffffff,  3,
2608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   63);
2609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t6, $ac1,  8", "ac1", t6, 0xffffffff, 0x00000001,  8,
2610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13);
2611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t7, $ac2, 16", "ac2", t7, 0x00000001, 0xffffffff, 16,
2612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   60);
2613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0xffffffff, 22,
2614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22);
2615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t0, $ac0,  9", "ac0", t0, 0x0000cdfe, 0x0fde3126,  9,
2616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   18);
2617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t2, $ac2, 16", "ac2", t2, 0x00000018, 0xffff2435, 16,
2618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   30);
2619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t3, $ac3,  6", "ac3", t3, 0xbabababa, 0xabababab,  6,
2620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   17);
2621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t4, $ac0, 13", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2, 13,
2622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   32);
2623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000, 19,
2624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20);
2625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t6, $ac2, 27", "ac2", t6, 0xfbde3976, 0x00000000, 27,
2626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   30);
2627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t7, $ac3,  7", "ac3", t7, 0xb0ed7654, 0x12349876,  7,
2628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   32);
2629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t8, $ac0, 11", "ac0", t8, 0x23534870, 0x35004565, 11,
2630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   37);
2631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t0, $ac1, 26", "ac1", t0, 0x980b7cde, 0x86700055, 26,
2632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   61);
2633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t1, $ac2, 15", "ac2", t1, 0x00000018, 0x8f8f8f8f, 15,
2634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   28);
2635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t2, $ac3,  2", "ac3", t2, 0x92784656, 0xeeeeeeee,  2,
2636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14);
2637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t3, $ac0,  1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,  1,
2638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   58);
2639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extp $t4, $ac1,  0", "ac1", t4, 0xbacabaca, 0xdecadeca,  0,
2640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19);
2641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTPDP --------\n");
2643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t1, $ac0, 31", "ac0", t1, 0x80000000, 0x80000000,
2644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31, 42);
2645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t2, $ac1, 17", "ac1", t2, (1<<31)+1, (1<<31)+2, 17,
2646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31);
2647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t3, $ac2,  4", "ac2", t3, 0x00000000, 0x00000006,
2648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    4, 55);
2649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t4, $ac3, 12", "ac3", t4, 0x00000004, 1073741824,
2650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   12, 22);
2651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t5, $ac0,  3", "ac0", t5, 0x7fffffff, 0x7fffffff,
2652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    3, 63);
2653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t6, $ac1,  8", "ac1", t6, 0xffffffff, 0x00000001,
2654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    8, 13);
2655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t7, $ac2, 16", "ac2", t7, 0x00000001, 0xffffffff,
2656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 60);
2657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0xffffffff,
2658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 22);
2659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t0, $ac0,  9", "ac0", t0, 0x0000cdfe, 0x0fde3126,
2660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    9, 18);
2661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t3, $ac3,  6", "ac3", t3, 0xbabababa, 0xabababab,
2662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    6, 17);
2663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t4, $ac0, 13", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
2664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 32);
2665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000,
2666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 20);
2667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t6, $ac2, 27", "ac2", t6, 0xfbde3976, 0x00000000,
2668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 30);
2669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t7, $ac3,  7", "ac3", t7, 0x0bed7654, 0x12349876,
2670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    7, 32);
2671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t8, $ac0, 11", "ac0", t8, 0x23534870, 0x00354565,
2672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 37);
2673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t0, $ac1, 26", "ac1", t0, 0x980b7cde, 0x00086755,
2674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 61);
2675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t1, $ac2, 15", "ac2", t1, 0x00000018, 0x8f8f8f8f,
2676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 28);
2677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t2, $ac3,  2", "ac3", t2, 0x92784656, 0xeeeeeeee,
2678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    2, 14);
2679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t3, $ac0,  1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
2680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    1, 58);
2681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extpdp $t4, $ac1,  0", "ac1", t4, 0xbacabaca, 0xdecadeca,
2682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0, 19);
2683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTPDPV --------\n");
2685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t1, $ac0, $t2", "ac0", t1, 0x80000000, 0x80000000,
2686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x80000000, 42);
2687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t2, $ac1, $t3", "ac1", t2, (1<<31)+1, (1<<31)+2,
2688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x06, 31);
2689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t3, $ac2, $t4", "ac2", t3, 0x00000000, 0x00000006,
2690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x7fffffff, 55);
2691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t5, $ac0, $t6", "ac0", t5, 0x7fffffff, 0x7fffffff,
2692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x0fde3126, 63);
2693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t7, $ac2, $t8", "ac2", t7, 0x00000001, 0xffffffff,
2694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0xaaaaaaaa, 60);
2695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t8, $ac3, $t9", "ac3", t8, 0xffffffff, 0xffffffff,
2696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xffff2435, 22);
2697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t4, $ac0, $t3", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
2698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x12349876, 32);
2699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t5, $ac1, $t4", "ac1", t5, 0x00000000, 0x00000000,
2700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x00354565, 20);
2701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t6, $ac2, $t5", "ac2", t6, 0xfbde3976, 0x00000000,
2702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x00086755, 30);
2703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t7, $ac3, $t6", "ac3", t7, 0x0bed7654, 0x12349876,
2704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x00000018, 32);
2705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t8, $ac0, $t7", "ac0", t8, 0x23534870, 0x00354565,
2706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x23534870, 37);
2707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t0, $ac1, $t8", "ac1", t0, 0x980b7cde, 0x00086755,
2708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0x92784656, 61);
2709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t1, $ac2, $t9", "ac2", t1, 0x00000018, 0x8f8f8f8f,
2710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xeeeeeeee, 28);
2711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t2, $ac3, $t1", "ac3", t2, 0x92784656, 0xeeeeeeee,
2712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xcacacaca, 14);
2713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t3, $ac0, $t1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
2714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xbacabaca, 58);
2715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpdpv $t4, $ac1, $t4", "ac1", t4, 0xbacabaca, 0xdecadeca,
2716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x1bdbdbdb, 19);
2717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTPV --------\n");
2719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t1, $ac0, $t2", "ac0", t1, 0x80000000, 0x80000000,
2720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x80000000, 42);
2721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t2, $ac1, $t3", "ac1", t2, (1<<31)+1, (1<<31)+2, t3,
2722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x06, 31);
2723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t3, $ac2, $t4", "ac2", t3, 0x00000000, 0x00000006,
2724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x7fffffff, 55);
2725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t5, $ac0, $t6", "ac0", t5, 0x7fffffff, 0x7fffffff,
2726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x0fde3126, 63);
2727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t7, $ac2, $t8", "ac2", t7, 0x00000001, 0xffffffff,
2728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0xaaaaaaaa, 60);
2729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t8, $ac3, $t9", "ac3", t8, 0xffffffff, 0xffffffff,
2730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xffff2435, 22);
2731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t4, $ac0, $t3", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
2732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x12349876, 32);
2733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t5, $ac1, $t4", "ac1", t5, 0x00000000, 0x00000000,
2734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x00354565, 20);
2735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t6, $ac2, $t5", "ac2", t6, 0xfbde3976, 0x00000000,
2736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x00086755, 30);
2737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t7, $ac3, $t6", "ac3", t7, 0x0bed7654, 0x12349876,
2738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x00000018, 32);
2739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t8, $ac0, $t7", "ac0", t8, 0x23534870, 0x00354565,
2740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x23534870, 37);
2741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t0, $ac1, $t8", "ac1", t0, 0x980b7cde, 0x00086755,
2742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0x92784656, 61);
2743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t1, $ac2, $t9", "ac2", t1, 0x00000018, 0x8f8f8f8f,
2744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xeeeeeeee, 28);
2745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t2, $ac3, $t1", "ac3", t2, 0x92784656, 0xeeeeeeee,
2746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xcacacaca, 14);
2747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t3, $ac0, $t1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
2748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xbacabaca, 58);
2749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extpv $t4, $ac1, $t4", "ac1", t4, 0xbacabaca, 0xdecadeca,
2750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x1bdbdbdb, 19);
2751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTR_S.H --------\n");
275386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac3,  0", "ac3", t4, 0x00000000, 0x00000000,
275486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
275586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_s.h $t5, $ac0, 31", "ac0", t5, 0x7fffffff, 0xcbcdef01,
275686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
275786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_s.h $t6, $ac1, 31", "ac1", t6, 0x3fffffff, 0x2bcdef01,
275886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
275986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_s.h $t7, $ac2,  0", "ac2", t7, 0xff34bc6f, 0xffffffff,
276086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
276186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_s.h $t8, $ac3,  1", "ac3", t8, 0x00000000, 0xfffffffe,
276286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
2763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac0, 31", "ac0", t1, 0x80000000, 0x80000000,
2764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31, 0);
2765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac1, 17", "ac1", t2, (1<<31)+1, (1<<31)+2,
2766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   17, 0);
2767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t3, $ac2,  4", "ac2", t3, 0x00000000, 0x00000006,
2768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   4, 0);
2769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac3, 12", "ac3", t4, 0x00000004, 1073741824,
2770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   12, 0);
2771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t5, $ac0,  3", "ac0", t5, 0x7fffffff, 0x7fffffff,
2772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
2773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t6, $ac1,  8", "ac1", t6, 0xffffffff, 0x00000001,
2774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
2775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t7, $ac2, 16", "ac2", t7, 0x00000001, 0xffffffff,
2776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0xffffffff,
2778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t0, $ac0,  9", "ac0", t0, 0x0000cdfe, 0x0fde3126,
2780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
2781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 14", "ac2", t2, 0x00000018, 0xffff2435,
2782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
2783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac1, 20", "ac1", t1, 0xaaaaaaaa, 0x55555555,
2784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
2785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 16", "ac2", t2, 0x00000018, 0xffff2435,
2786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac1,  5", "ac1", t1, 0xaaaaaaaa, 0x55555555,
2788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 22", "ac2", t2, 0x00000018, 0xffff2435,
2790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t3, $ac3,  6", "ac3", t3, 0xbabababa, 0xabababab,
2792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
2793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac0, 13", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
2794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
2795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000,
2796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
2797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t6, $ac2, 27", "ac2", t6, 0xfbde3976, 0x00000000,
2798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
2799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t7, $ac3,  7", "ac3", t7, 0x0bed7654, 0x12349876,
2800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
2801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t8, $ac0, 11", "ac0", t8, 0x23534870, 0x00354565,
2802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
2803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t0, $ac1, 26", "ac1", t0, 0x980b7cde, 0x00086755,
2804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
2805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac2, 15", "ac2", t1, 0x00000018, 0x8f8f8f8f,
2806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
2807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac3,  2", "ac3", t2, 0x92784656, 0xeeeeeeee,
2808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
2809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t3, $ac0,  1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
2810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
2811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac1,  0", "ac1", t4, 0xbacabaca, 0xdecadeca,
2812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
2813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t5, $ac0,  3", "ac0", t5, 0x00000000, 0x5fc92974,
2814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
2815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t6, $ac1,  8", "ac1", t6, 0x00000000, 0x7e08184e,
2816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
2817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t7, $ac2, 16", "ac2", t7, 0x00000000, 0x71c8315f,
2818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0x9493110e,
2820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t0, $ac0,  9", "ac0", t0, 0xffffffff, 0xbb246228,
2822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
2823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x339d8d88,
2824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 14", "ac2", t2, 0x00000000, 0x70974249,
2826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
2827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac1, 20", "ac1", t1, 0xffffffff, 0x8a8d4e7d,
2828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
2829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xeb1b4335,
2830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x0cd6b508,
2832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac2, 22", "ac2", t2, 0x00000000, 0x6731e282,
2834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xb6edf28f,
2836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
2837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac0, 13", "ac0", t4, 0x00000000, 0x4b4ec9ca,
2838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
2839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t5, $ac1, 19", "ac1", t5, 0xffffffff, 0xc1037fa4,
2840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
2841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t6, $ac2, 27", "ac2", t6, 0xffffffff, 0xcb4ab48f,
2842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
2843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t7, $ac3,  7", "ac3", t7, 0xffffffff, 0xaf8f7e18,
2844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
2845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t8, $ac0, 11", "ac0", t8, 0xffffffff, 0x87df4510,
2846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
2847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t0, $ac1, 26", "ac1", t0, 0xffffffff, 0xabf4e8e1,
2848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
2849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t1, $ac2, 15", "ac2", t1, 0xffffffff, 0xf4c0eeac,
2850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
2851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t2, $ac3,  2", "ac3", t2, 0x00000000, 0x006a54f2,
2852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
2853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x79f74493,
2854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
2855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_s.h $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0x9c09e313,
2856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
2857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTR.W --------\n");
285986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr.w $t4, $ac3,  0", "ac3", t4, 0x00000000, 0x00000000,
286086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
286186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr.w $t5, $ac0, 31", "ac0", t5, 0x7fffffff, 0xcbcdef01,
286286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
286386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr.w $t6, $ac1, 31", "ac1", t6, 0x3fffffff, 0x2bcdef01,
286486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
286586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr.w $t7, $ac2,  0", "ac2", t7, 0xffffffff, 0xffffffff,
286686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
286786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr.w $t8, $ac3,  1", "ac3", t8, 0x00000000, 0xfffffffe,
286886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
2869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac0, 31", "ac0", t1, 0x80000000, 0x80000000,
2870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31, 0);
2871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac1, 17", "ac1", t2, (1<<31)+1, (1<<31)+2, 17,
2872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0);
2873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t3, $ac2,  4", "ac2", t3, 0x00000000, 0x00000006,
2874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   4, 0);
2875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t4, $ac3, 12", "ac3", t4, 0x00000004, 1073741824,
2876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   12, 0);
2877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t5, $ac0,  3", "ac0", t5, 0x7fffffff, 0x7fffffff,
2878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
2879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t6, $ac1,  8", "ac1", t6, 0xffffffff, 0x00000001,
2880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
2881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t7, $ac2, 16", "ac2", t7, 0x00000001, 0xffffffff,
2882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0xffffffff,
2884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t0, $ac0,  9", "ac0", t0, 0x0000cdfe, 0xfd0e3126,
2886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
2887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 14", "ac2", t2, 0x00000018, 0xffff2435,
2888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
2889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac1, 20", "ac1", t1, 0xaaaaaaaa, 0x55555555,
2890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
2891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 16", "ac2", t2, 0x00000018, 0xffff2435,
2892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac1,  5", "ac1", t1, 0xaaaaaaaa, 0x55555555,
2894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 22", "ac2", t2, 0x00000018, 0xffff2435,
2896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t3, $ac3,  6", "ac3", t3, 0xbabababa, 0xabababab,
2898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
2899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t4, $ac0, 13", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
2900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
2901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000,
2902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
2903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t6, $ac2, 27", "ac2", t6, 0xfbde3976, 0x00000000,
2904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
2905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t7, $ac3,  7", "ac3", t7, 0x0bed7654, 0x12349876,
2906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
2907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t8, $ac0, 11", "ac0", t8, 0x23534870, 0x00354565,
2908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
2909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t0, $ac1, 26", "ac1", t0, 0x980b7cde, 0x80006755,
2910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
2911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac2, 15", "ac2", t1, 0x00000018, 0x8f8f8f8f,
2912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
2913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac3,  2", "ac3", t2, 0x92784656, 0xeeeeeeee,
2914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
2915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t3, $ac0,  1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
2916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
2917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t4, $ac1,  0", "ac1", t4, 0xbacabaca, 0xdecadeca,
2918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
2919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t5, $ac0,  3", "ac0", t5, 0x00000000, 0x5fc92974,
2920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
2921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t6, $ac1,  8", "ac1", t6, 0x00000000, 0x7e08184e,
2922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
2923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t7, $ac2, 16", "ac2", t7, 0x00000000, 0x71c8315f,
2924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0x9493110e,
2926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t0, $ac0,  9", "ac0", t0, 0xffffffff, 0xbb246228,
2928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
2929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x339d8d88,
2930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 14", "ac2", t2, 0x00000000, 0x70974249,
2932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
2933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac1, 20", "ac1", t1, 0xffffffff, 0x8a8d4e7d,
2934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
2935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xeb1b4335,
2936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x0cd6b508,
2938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac2, 22", "ac2", t2, 0x00000000, 0x6731e282,
2940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xb6edf28f,
2942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
2943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t4, $ac0, 13", "ac0", t4, 0x00000000, 0x4b4ec9ca,
2944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
2945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t5, $ac1, 19", "ac1", t5, 0xffffffff, 0xc1037fa4,
2946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
2947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t6, $ac2, 27", "ac2", t6, 0xffffffff, 0xcb4ab48f,
2948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
2949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t7, $ac3,  7", "ac3", t7, 0xffffffff, 0xaf8f7e18,
2950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
2951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t8, $ac0, 11", "ac0", t8, 0xffffffff, 0x87df4510,
2952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
2953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t0, $ac1, 26", "ac1", t0, 0xffffffff, 0xabf4e8e1,
2954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
2955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t1, $ac2, 15", "ac2", t1, 0xffffffff, 0xf4c0eeac,
2956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
2957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t2, $ac3,  2", "ac3", t2, 0x00000000, 0x006a54f2,
2958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
2959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x79f74493,
2960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
2961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr.w $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0x9c09e313,
2962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
2963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
2964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTR_R.W --------\n");
296586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t4, $ac3,  0", "ac3", t4, 0x00000000, 0x00000000,
296686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
296786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t5, $ac0, 31", "ac0", t5, 0x7fffffff, 0xcbcdef01,
296886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
296986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t6, $ac1, 31", "ac1", t6, 0x3fffffff, 0x2bcdef01,
297086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
297186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t7, $ac2,  0", "ac2", t7, 0x987b2fff, 0xffffffff,
297286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
297386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t8, $ac3,  1", "ac3", t8, 0x000cd320, 0xfffffffe,
297486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
297586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac0, 31", "ac0", t1, 0xfff9b541, 0x80000000,
2976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   31, 0);
297786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t3, $ac2,  4", "ac2", t3, 0x0008b31c, 0x00000006,
2978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   4, 0);
297986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t5, $ac0,  3", "ac0", t5, 0x0086b3ad, 0x7fffffff,
2980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
298186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t6, $ac1,  8", "ac1", t6, 0x00097b51, 0x00000001,
2982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
298386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t7, $ac2, 16", "ac2", t7, 0xfcde43ff, 0xffffffff,
2984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
298586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t0, $ac0,  9", "ac0", t0, 0xffffca26, 0xfd0e3126,
2986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
2987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x55555555,
2988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 14", "ac2", t2, 0xffffffff, 0xffff2435,
2990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
2991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1, 20", "ac1", t1, 0x00000000, 0x55555555,
2992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
2993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xffff2435,
2994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
2995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x55555555,
2996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
2997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 22", "ac2", t2, 0xffffffff, 0xffff2435,
2998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
2999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xabababab,
3000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
3001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t4, $ac0, 13", "ac0", t4, 0xffffffff, 0xfc79b4d2,
3002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
3003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000,
3004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
3005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t6, $ac2, 27", "ac2", t6, 0x00000000, 0x00000000,
3006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
300786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t7, $ac3,  7", "ac3", t7, 0x02934b00, 0x12349876,
3008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
300986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t8, $ac0, 11", "ac0", t8, 0x0008cad0, 0x00354565,
3010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
301186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t0, $ac1, 26", "ac1", t0, 0xf65c8fff, 0x80006755,
3012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
301386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac2, 15", "ac2", t1, 0xfffff001, 0x8f8f8f8f,
3014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
301586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac3,  2", "ac3", t2, 0xbad69420, 0xeeeeeeee,
3016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
3017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x1bdbdbdb,
3018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
3019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0xdecadeca,
3020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
3021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t5, $ac0,  3", "ac0", t5, 0x00000000, 0x5fc92974,
3022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   3, 0);
3023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t6, $ac1,  8", "ac1", t6, 0x00000000, 0x7e08184e,
3024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   8, 0);
3025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t7, $ac2, 16", "ac2", t7, 0x00000000, 0x71c8315f,
3026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
3027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0x9493110e,
3028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
3029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t0, $ac0,  9", "ac0", t0, 0xffffffff, 0xbb246228,
3030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   9, 0);
3031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x339d8d88,
3032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
3033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 14", "ac2", t2, 0x00000000, 0x70974249,
3034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   14, 0);
3035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1, 20", "ac1", t1, 0xffffffff, 0x8a8d4e7d,
3036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   20, 0);
3037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xeb1b4335,
3038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   16, 0);
3039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x0cd6b508,
3040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   5, 0);
3041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac2, 22", "ac2", t2, 0x00000000, 0x6731e282,
3042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   22, 0);
3043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xb6edf28f,
3044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   6, 0);
3045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t4, $ac0, 13", "ac0", t4, 0x00000000, 0x4b4ec9ca,
3046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   13, 0);
3047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t5, $ac1, 19", "ac1", t5, 0xffffffff, 0xc1037fa4,
3048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   19, 0);
3049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t6, $ac2, 27", "ac2", t6, 0xffffffff, 0xcb4ab48f,
3050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   27, 0);
3051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t7, $ac3,  7", "ac3", t7, 0xffffffff, 0xaf8f7e18,
3052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   7, 0);
3053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t8, $ac0, 11", "ac0", t8, 0xffffffff, 0x87df4510,
3054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   11, 0);
3055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t0, $ac1, 26", "ac1", t0, 0xffffffff, 0xabf4e8e1,
3056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   26, 0);
3057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t1, $ac2, 15", "ac2", t1, 0xffffffff, 0xf4c0eeac,
3058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   15, 0);
3059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t2, $ac3,  2", "ac3", t2, 0x00000000, 0x006a54f2,
3060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   2, 0);
3061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x79f74493,
3062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   1, 0);
3063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_r.w $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0x9c09e313,
3064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
3065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTR_RS.W --------\n");
306786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t4, $ac3,  0", "ac3", t4, 0x00000000, 0x00000000,
306886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
306986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t5, $ac0, 31", "ac0", t5, 0x7fffffff, 0xcbcdef01,
307086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
307186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t6, $ac1, 31", "ac1", t6, 0x3fffffff, 0x2bcdef01,
307286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   31, 0);
307386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t7, $ac2,  0", "ac2", t7, 0x987b2fff, 0xffffffff,
307486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
307586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t8, $ac3,  1", "ac3", t8, 0x000cd320, 0xfffffffe,
307686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
307786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t3, $ac2,  4", "ac2", t3, 0xfff9b541, 0x80000000,
307886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   4, 0);
307986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t5, $ac0,  3", "ac0", t5, 0x0008b31c, 0x00000006,
308086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   3, 0);
308186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t6, $ac1,  8", "ac1", t6, 0x0086b3ad, 0x7fffffff,
308286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   8, 0);
308386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t7, $ac2, 16", "ac2", t7, 0x00097b51, 0x00000001,
308486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   16, 0);
308586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t8, $ac3, 22", "ac3", t8, 0xfcde43ff, 0xffffffff,
308686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   2, 0);
308786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t0, $ac0,  9", "ac0", t0, 0xffffca26, 0xfd0e3126,
308886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   9, 0);
3089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x55555555,
309086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   5, 0);
3091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 14", "ac2", t2, 0xffffffff, 0xffff2435,
309286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   14, 0);
3093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1, 20", "ac1", t1, 0x00000000, 0x55555555,
309486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
3095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xffff2435,
309686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   16, 0);
3097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x55555555,
309886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   5, 0);
3099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 22", "ac2", t2, 0xffffffff, 0xffff2435,
310086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   22, 0);
3101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xabababab,
310286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   6, 0);
3103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t4, $ac0, 13", "ac0", t4, 0xffffffff, 0xfc79b4d2,
310486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   13, 0);
3105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t5, $ac1, 19", "ac1", t5, 0x00000000, 0x00000000,
310686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   19, 0);
3107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t6, $ac2, 27", "ac2", t6, 0x00000000, 0x00000000,
310886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   27, 0);
310986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t7, $ac3,  7", "ac3", t7, 0x02934b00, 0x12349876,
311086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   7, 0);
311186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t8, $ac0, 11", "ac0", t8, 0x0008cad0, 0x00354565,
311286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   11, 0);
311386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t0, $ac1, 26", "ac1", t0, 0xf65c8fff, 0x80006755,
311486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   26, 0);
311586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac2, 15", "ac2", t1, 0xfffff001, 0x8f8f8f8f,
311686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   15, 0);
311786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac3,  2", "ac3", t2, 0xbad69420, 0xeeeeeeee,
311886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   2, 0);
3119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x1bdbdbdb,
312086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
3121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0xdecadeca,
312286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   0, 0);
3123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t5, $ac0,  3", "ac0", t5, 0x00000000, 0x5fc92974,
312486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   3, 0);
3125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t6, $ac1,  8", "ac1", t6, 0x00000000, 0x7e08184e,
312686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   8, 0);
3127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t7, $ac2, 16", "ac2", t7, 0x00000000, 0x71c8315f,
312886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   16, 0);
3129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t8, $ac3, 22", "ac3", t8, 0xffffffff, 0x9493110e,
313086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   22, 0);
3131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t0, $ac0,  9", "ac0", t0, 0xffffffff, 0xbb246228,
313286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   9, 0);
3133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x339d8d88,
313486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   5, 0);
3135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 14", "ac2", t2, 0x00000000, 0x70974249,
313686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   14, 0);
3137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1, 20", "ac1", t1, 0xffffffff, 0x8a8d4e7d,
313886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   20, 0);
3139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 16", "ac2", t2, 0xffffffff, 0xeb1b4335,
314086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   16, 0);
3141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac1,  5", "ac1", t1, 0x00000000, 0x0cd6b508,
314286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   5, 0);
3143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac2, 22", "ac2", t2, 0x00000000, 0x6731e282,
314486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   22, 0);
3145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t3, $ac3,  6", "ac3", t3, 0xffffffff, 0xb6edf28f,
314686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   6, 0);
3147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t4, $ac0, 13", "ac0", t4, 0x00000000, 0x4b4ec9ca,
314886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   13, 0);
3149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t5, $ac1, 19", "ac1", t5, 0xffffffff, 0xc1037fa4,
315086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   19, 0);
3151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t6, $ac2, 27", "ac2", t6, 0xffffffff, 0xcb4ab48f,
315286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   27, 0);
3153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t7, $ac3,  7", "ac3", t7, 0xffffffff, 0xaf8f7e18,
315486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   7, 0);
3155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t8, $ac0, 11", "ac0", t8, 0xffffffff, 0x87df4510,
315686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   11, 0);
3157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t0, $ac1, 26", "ac1", t0, 0xffffffff, 0xabf4e8e1,
315886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   26, 0);
3159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t1, $ac2, 15", "ac2", t1, 0xffffffff, 0xf4c0eeac,
316086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   15, 0);
3161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t2, $ac3,  2", "ac3", t2, 0x00000000, 0x006a54f2,
316286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   2, 0);
3163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t3, $ac0,  1", "ac0", t3, 0x00000000, 0x79f74493,
316486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                   1, 0);
3165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXT("extr_rs.w $t4, $ac1,  0", "ac1", t4, 0xffffffff, 0x9c09e313,
3166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                   0, 0);
3167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTRV_S.H --------\n");
316986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t3", "ac1", t1, 0x00000000,
317086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00000000, t3, 0xbababa00, 0);
317186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t4", "ac2", t2, 0x7fffffff,
317286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xcbcdef01, t4, 0xfbde391f, 0);
317386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t7", "ac1", t1, 0x3fffffff,
317486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x2bcdef01, t7, 0x5555551f, 0);
317586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t5", "ac2", t2, 0xffffffff,
317686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xffffffff, t5, 0x0000cd00, 0);
317786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t2", "ac1", t1, 0x00000000,
317886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xfffffffe, t2, 0x80000001, 0);
3179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac1, $t1", "ac1", t2, (1<<31)+1, (1<<31)+2,
3180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0x12349876, 0);
3181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac0, $t0", "ac0", t1, 0x80000000,
3182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x80000000, t0, 0x12349876, 0);
3183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t3, $ac2, $t2", "ac2", t3, 0x00000000,
3184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000006, t2, 0x00354565, 0);
3185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t4, $ac3, $t3", "ac3", t4, 0x00000004,
3186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    1073741824, t3, 0x00086755, 0);
3187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t5, $ac0, $t4", "ac0", t5, 0x7fffffff,
3188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fffffff, t4, 0x00000018, 0);
3189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t6, $ac1, $t5", "ac1", t6, 0xffffffff,
3190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000001, t5, 0x23534870, 0);
3191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t7, $ac2, $t6", "ac2", t7, 0x00000001,
3192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t6, 0x92784656, 0);
3193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t8, $ac3, $t7", "ac3", t8, 0xffffffff,
3194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t7, 0xeeeeeeee, 0);
3195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t0, $ac0, $t8", "ac0", t0, 0x0000cdfe,
3196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x0fde3126, t8, 0xcacacaca, 0);
3197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t0", "ac1", t1, 0xaaaaaaaa,
3198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t0, 0xbacabaca, 0);
3199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t1", "ac2", t2, 0x00000018,
3200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t1, 0x1bdbdbdb, 0);
3201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t2", "ac1", t1, 0xaaaaaaaa,
3202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t2, 0x0cd6b508, 0);
3203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t3", "ac2", t2, 0x00000018,
3204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t3, 0x6731e282, 0);
3205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t4", "ac1", t1, 0xaaaaaaaa,
3206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t4, 0xb6edf28f, 0);
3207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t5", "ac2", t2, 0x00000018,
3208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t5, 0x4b4ec9ca, 0);
3209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t3, $ac3, $t6", "ac3", t3, 0xbabababa,
3210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xabababab, t6, 0xc1037fa4, 0);
3211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t4, $ac0, $t7", "ac0", t4, 0xf0f0f0f0,
3212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xfc79b4d2, t7, 0xcb4ab48f, 0);
3213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t5, $ac1, $t8", "ac1", t5, 0x00000000,
3214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000000, t8, 0xaf8f7e18, 0);
3215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t6, $ac2, $t0", "ac2", t6, 0xfbde3976,
3216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000000, t0, 0x87df4510, 0);
3217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t7, $ac3, $t1", "ac3", t7, 0x0bed7654,
3218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x12349876, t1, 0xabf4e8e1, 0);
3219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t8, $ac0, $t2", "ac0", t8, 0x23534870,
3220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00354565, t2, 0xf4c0eeac, 0);
3221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t0, $ac1, $t3", "ac1", t0, 0x980b7cde,
3222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00086755, t3, 0x006a54f2, 0);
3223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac2, $t4", "ac2", t1, 0x00000018,
3224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x8f8f8f8f, t4, 0x79f74493, 0);
3225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac3, $t5", "ac3", t2, 0x92784656,
3226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t5, 0x9c09e313, 0);
3227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t3, $ac0, $t6", "ac0", t3, 0xcacacaca,
3228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t6, 0x0fde3126, 0);
3229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t4, $ac1, $t7", "ac1", t4, 0xbacabaca,
3230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t7, 0x55555555, 0);
3231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t5, $ac0, $t8", "ac0", t5, 0x00000000,
3232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x5fc92974, t8, 0xffff2435, 0);
3233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t6, $ac1, $t0", "ac1", t6, 0x00000000,
3234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7e08184e, t0, 0x55555555, 0);
3235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t7, $ac2, $t1", "ac2", t7, 0x00000000,
3236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x71c8315f, t1, 0xffff2435, 0);
3237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t8, $ac3, $t2", "ac3", t8, 0xffffffff,
3238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9493110e, t2, 0x55555555, 0);
3239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t0, $ac0, $t3", "ac0", t0, 0xffffffff,
3240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xbb246228, t3, 0xffff2435, 0);
3241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t4", "ac1", t1, 0x00000000,
3242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x339d8d88, t4, 0xabababab, 0);
3243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t5", "ac2", t2, 0x00000000,
3244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x70974249, t5, 0xfc79b4d2, 0);
3245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t6", "ac1", t1, 0xffffffff,
3246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x8a8d4e7d, t6, 0x00000000, 0);
3247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t7", "ac2", t2, 0xffffffff,
3248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeb1b4335, t7, 0x00000000, 0);
3249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac1, $t8", "ac1", t1, 0x00000000,
3250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x0cd6b508, t8, 0x12349876, 0);
3251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac2, $t0", "ac2", t2, 0x00000000,
3252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x6731e282, t0, 0x00354565, 0);
3253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t3, $ac3, $t1", "ac3", t3, 0xffffffff,
3254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xb6edf28f, t1, 0x00086755, 0);
3255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t4, $ac0, $t2", "ac0", t4, 0x00000000,
3256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x4b4ec9ca, t2, 0x8f8f8f8f, 0);
3257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t5, $ac1, $t3", "ac1", t5, 0xffffffff,
3258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xc1037fa4, t3, 0xeeeeeeee, 0);
3259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t6, $ac2, $t3", "ac2", t6, 0xffffffff,
3260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xcb4ab48f, t3, 0x1bdbdbdb, 0);
3261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t7, $ac3, $t4", "ac3", t7, 0xffffffff,
3262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xaf8f7e18, t4, 0xbb246228, 0);
3263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t8, $ac0, $t5", "ac0", t8, 0xffffffff,
3264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x87df4510, t5, 0x339d8d88, 0);
3265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t0, $ac1, $t6", "ac1", t0, 0xffffffff,
3266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xabf4e8e1, t6, 0x70974249, 0);
3267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t1, $ac2, $t7", "ac2", t1, 0xffffffff,
3268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t7, 0x8a8d4e7d, 0);
3269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t2, $ac3, $t8", "ac3", t2, 0x00000000,
3270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t8, 0xeb1b4335, 0);
3271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t3, $ac0, $t0", "ac0", t3, 0x00000000,
3272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t0, 0x0cd6b508, 0);
3273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_s.h $t4, $ac1, $t1", "ac1", t4, 0xffffffff,
3274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t1, 0x6731e282, 0);
3275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTRV.W --------\n");
327786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t3", "ac1", t1, 0x00000000, 0x00000000,
327886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    t3, 0xbababa00, 0);
327986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t4", "ac2", t2, 0x7fffffff, 0xcbcdef01,
328086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    t4, 0xfbde391f, 0);
328186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t7", "ac1", t1, 0x3fffffff, 0x2bcdef01,
328286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    t7, 0x5555551f, 0);
328386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t5", "ac2", t2, 0xffffffff, 0xffffffff,
328486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    t5, 0x0000cd00, 0);
328586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t2", "ac1", t1, 0x00000000, 0xfffffffe,
328686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    t2, 0x80000001, 0);
328786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t3", "ac1", t1, 0xaaabad3a, 0x55555555,
3288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0xbababa05, 0);
3289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t4", "ac2", t2, 0x00000018, 0xffff2435,
3290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0xfbde390e, 0);
329186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t7", "ac1", t1, 0xaaaaa221, 0x55555555,
3292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x55555514, 0);
3293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t5", "ac2", t2, 0x00000018, 0xffff2435,
3294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x0000cd10, 0);
3295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t2", "ac1", t1, 0xaaaaaaaa, 0x55555555,
3296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x80000005, 0);
3297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t3", "ac2", t2, 0x00000018, 0xffff2435,
3298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x7fffff16, 0);
3299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t0, $ac0, $t1", "ac0", t0, 0x0000cdfe, 0x0fde3126,
3300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xbabababa, 0);
3301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t3, $ac3, $t2", "ac3", t3, 0xbabababa, 0xabababab,
3302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0xfbde3976, 0);
3303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t6, $ac1, $t7", "ac1", t6, 0xffffffff, 0x00000001,
3304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x55555555, 0);
3305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t4, $ac3, $t5", "ac3", t4, 0x00000004, 1073741824,
3306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x0000cdfe, 0);
3307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac0, $t2", "ac0", t1, 0x80000000, 0x80000000,
3308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x80000000, 0);
3309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac1, $t3", "ac1", t2, (1<<31)+1, (1<<31)+2,
3310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x06, 0);
3311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t3, $ac2, $t4", "ac2", t3, 0x00000000, 0x00000006,
3312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x7fffffff, 0);
3313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t5, $ac0, $t6", "ac0", t5, 0x7fffffff, 0x7fffffff,
3314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x0fde3126, 0);
3315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t7, $ac2, $t8", "ac2", t7, 0x00000001, 0xffffffff,
3316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0xaaaaaaaa, 0);
3317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t8, $ac3, $t9", "ac3", t8, 0xffffffff, 0xffffffff,
3318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xffff2435, 0);
3319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t4, $ac0, $t3", "ac0", t4, 0xf0f0f0f0, 0xfc79b4d2,
3320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x12349876, 0);
3321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t5, $ac1, $t4", "ac1", t5, 0x00000000, 0x00000000,
3322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x00354565, 0);
3323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t6, $ac2, $t5", "ac2", t6, 0xfbde3976, 0x00000000,
3324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x00086755, 0);
3325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t7, $ac3, $t6", "ac3", t7, 0x0bed7654, 0x12349876,
3326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x00000018, 0);
3327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t8, $ac0, $t7", "ac0", t8, 0x23534870, 0x00354565,
3328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x23534870, 0);
3329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t0, $ac1, $t8", "ac1", t0, 0x980b7cde, 0x00086755,
3330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0x92784656, 0);
3331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac2, $t9", "ac2", t1, 0x00000018, 0x8f8f8f8f,
3332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t9, 0xeeeeeeee, 0);
3333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac3, $t1", "ac3", t2, 0x92784656, 0xeeeeeeee,
3334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xcacacaca, 0);
3335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t3, $ac0, $t1", "ac0", t3, 0xcacacaca, 0x1bdbdbdb,
3336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xbacabaca, 0);
3337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t4, $ac1, $t4", "ac1", t4, 0xbacabaca, 0xdecadeca,
3338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0x1bdbdbdb, 0);
3339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t5, $ac0, $t8", "ac0", t5, 0x00000000, 0x5fc92974,
3340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0xffff2435, 0);
3341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t6, $ac1, $t0", "ac1", t6, 0x00000000, 0x7e08184e,
3342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t0, 0x55555555, 0);
3343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t7, $ac2, $t1", "ac2", t7, 0x00000000, 0x71c8315f,
3344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0xffff2435, 0);
3345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t8, $ac3, $t2", "ac3", t8, 0xffffffff, 0x9493110e,
3346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x55555555, 0);
3347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t0, $ac0, $t3", "ac0", t0, 0xffffffff, 0xbb246228,
3348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0xffff2435, 0);
3349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t4", "ac1", t1, 0x00000000, 0x339d8d88,
3350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0xabababab, 0);
3351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t5", "ac2", t2, 0x00000000, 0x70974249,
3352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0xfc79b4d2, 0);
3353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t6", "ac1", t1, 0xffffffff, 0x8a8d4e7d,
3354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x00000000, 0);
3355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t7", "ac2", t2, 0xffffffff, 0xeb1b4335,
3356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x00000000, 0);
3357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac1, $t8", "ac1", t1, 0x00000000, 0x0cd6b508,
3358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0x12349876, 0);
3359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac2, $t0", "ac2", t2, 0x00000000, 0x6731e282,
3360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t0, 0x00354565, 0);
3361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t3, $ac3, $t1", "ac3", t3, 0xffffffff, 0xb6edf28f,
3362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0x00086755, 0);
3363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t4, $ac0, $t2", "ac0", t4, 0x00000000, 0x4b4ec9ca,
3364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t2, 0x8f8f8f8f, 0);
3365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t5, $ac1, $t3", "ac1", t5, 0xffffffff, 0xc1037fa4,
3366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0xeeeeeeee, 0);
3367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t6, $ac2, $t3", "ac2", t6, 0xffffffff, 0xcb4ab48f,
3368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t3, 0x1bdbdbdb, 0);
3369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t7, $ac3, $t4", "ac3", t7, 0xffffffff, 0xaf8f7e18,
3370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t4, 0xbb246228, 0);
3371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t8, $ac0, $t5", "ac0", t8, 0xffffffff, 0x87df4510,
3372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t5, 0x339d8d88, 0);
3373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t0, $ac1, $t6", "ac1", t0, 0xffffffff, 0xabf4e8e1,
3374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t6, 0x70974249, 0);
3375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t1, $ac2, $t7", "ac2", t1, 0xffffffff, 0xf4c0eeac,
3376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t7, 0x8a8d4e7d, 0);
3377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t2, $ac3, $t8", "ac3", t2, 0x00000000, 0x006a54f2,
3378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t8, 0xeb1b4335, 0);
3379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t3, $ac0, $t0", "ac0", t3, 0x00000000, 0x79f74493,
3380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t0, 0x0cd6b508, 0);
3381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv.w $t4, $ac1, $t1", "ac1", t4, 0xffffffff, 0x9c09e313,
3382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    t1, 0x6731e282, 0);
3383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTRV_R.W --------\n");
3385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t3", "ac1", t1, 0x00000000,
338686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00000000, t3, 0xbababa00, 0);
338786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t4", "ac2", t2, 0x7fffffff,
338886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xcbcdef01, t4, 0xfbde391f, 0);
338986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t7", "ac1", t1, 0x3fffffff,
339086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x2bcdef01, t7, 0x5555551f, 0);
339186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t5", "ac2", t2, 0xffffffff,
339286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xffffffff, t5, 0x0000cd00, 0);
339386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t2", "ac1", t1, 0x00000000,
339486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xfffffffe, t2, 0x80000001, 0);
339586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t3", "ac1", t1, 0x00000000,
3396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t3, 0xbababa05, 0);
3397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t4", "ac2", t2, 0xffffffff,
3398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t4, 0xfbde390e, 0);
3399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t7", "ac1", t1, 0x00000000,
3400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t7, 0x55555514, 0);
3401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t5", "ac2", t2, 0xffffffff,
3402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t5, 0x0000cd10, 0);
3403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t2", "ac1", t1, 0x00000000,
3404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t2, 0x80000005, 0);
3405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t3", "ac2", t2, 0xffffffff,
3406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t3, 0x7fffff16, 0);
3407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t0, $ac0, $t1", "ac0", t0, 0x00000000,
3408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x0fde3126, t1, 0xbabababa, 0);
3409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t3, $ac3, $t2", "ac3", t3, 0xffffffff,
3410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xabababab, t2, 0xfbde3976, 0);
3411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t6, $ac1, $t7", "ac1", t6, 0x00000000,
3412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000001, t7, 0x55555555, 0);
3413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac0, $t2", "ac0", t1, 0xffffffff,
3414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x80000000, t2, 0x80000000, 0);
3415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t3, $ac2, $t4", "ac2", t3, 0x00000000,
3416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000006, t4, 0x7fffffff, 0);
3417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t5, $ac0, $t6", "ac0", t5, 0x00000000,
3418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fffffff, t6, 0x0fde3126, 0);
3419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t7, $ac2, $t8", "ac2", t7, 0xffffffff,
3420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t8, 0xaaaaaaaa, 0);
3421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t8, $ac3, $t9", "ac3", t8, 0xffffffff,
3422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t9, 0xffff2435, 0);
3423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t4, $ac0, $t3", "ac0", t4, 0xffffffff,
3424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xfc79b4d2, t3, 0x12349876, 0);
3425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t5, $ac1, $t4", "ac1", t5, 0x00000000,
3426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000000, t4, 0x00354565, 0);
3427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t6, $ac2, $t5", "ac2", t6, 0x00000000,
3428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000000, t5, 0x00086755, 0);
3429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t7, $ac3, $t6", "ac3", t7, 0x00000000,
3430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x12349876, t6, 0x00000018, 0);
3431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t8, $ac0, $t7", "ac0", t8, 0x00000000,
3432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00354565, t7, 0x23534870, 0);
3433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t0, $ac1, $t8", "ac1", t0, 0x00000000,
3434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00086755, t8, 0x92784656, 0);
3435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac2, $t9", "ac2", t1, 0xffffffff,
3436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x8f8f8f8f, t9, 0xeeeeeeee, 0);
3437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac3, $t1", "ac3", t2, 0xffffffff,
3438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t1, 0xcacacaca, 0);
3439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t3, $ac0, $t1", "ac0", t3, 0x00000000,
3440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t1, 0xbacabaca, 0);
3441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t4, $ac1, $t4", "ac1", t4, 0xffffffff,
3442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t4, 0x1bdbdbdb, 0);
344386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t5, $ac0, $t8", "ac0", t5, 0xf0f0f0f0,
344486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x5fc92974, t8, 0xffff2435, 0);
3445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t6, $ac1, $t0", "ac1", t6, 0x00000000,
344686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x7e08184e, t0, 0x55555555, 0);
344786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t7, $ac2, $t1", "ac2", t7, 0xfbde3976,
344886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x71c8315f, t1, 0xffff2435, 0);
344986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t8, $ac3, $t2", "ac3", t8, 0x0bed7654,
345086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x9493110e, t2, 0x55555555, 0);
345186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t0, $ac0, $t3", "ac0", t0, 0x23534870,
345286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xbb246228, t3, 0xffff2435, 0);
345386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t4", "ac1", t1, 0x980b7cde,
345486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x339d8d88, t4, 0xabababab, 0);
345586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t5", "ac2", t2, 0x00000018,
345686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x70974249, t5, 0xfc79b4d2, 0);
345786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t6", "ac1", t1, 0x92784656,
345886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x8a8d4e7d, t6, 0x00000000, 0);
345986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t7", "ac2", t2, 0xcacacaca,
346086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xeb1b4335, t7, 0x00000000, 0);
346186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac1, $t8", "ac1", t1, 0xbacabaca,
346286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x0cd6b508, t8, 0x12349876, 0);
3463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac2, $t0", "ac2", t2, 0x00000000,
346486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x6731e282, t0, 0x00354565, 0);
346586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t3, $ac3, $t1", "ac3", t3, 0x00000000,
346686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xb6edf28f, t1, 0x00086755, 0);
3467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t4, $ac0, $t2", "ac0", t4, 0x00000000,
346886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x4b4ec9ca, t2, 0x8f8f8f8f, 0);
3469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t5, $ac1, $t3", "ac1", t5, 0xffffffff,
347086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xc1037fa4, t3, 0xeeeeeeee, 0);
3471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t6, $ac2, $t3", "ac2", t6, 0xffffffff,
347286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xcb4ab48f, t3, 0x1bdbdbdb, 0);
347386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t7, $ac3, $t4", "ac3", t7, 0x00000000,
347486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xaf8f7e18, t4, 0xbb246228, 0);
347586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_r.w $t8, $ac0, $t5", "ac0", t8, 0x00000000,
347686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x87df4510, t5, 0x339d8d88, 0);
3477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t0, $ac1, $t6", "ac1", t0, 0xffffffff,
347886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xabf4e8e1, t6, 0x70974249, 0);
3479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t1, $ac2, $t7", "ac2", t1, 0xffffffff,
348086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xf4c0eeac, t7, 0x8a8d4e7d, 0);
3481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t2, $ac3, $t8", "ac3", t2, 0x00000000,
348286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x006a54f2, t8, 0xeb1b4335, 0);
3483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t3, $ac0, $t0", "ac0", t3, 0x00000000,
348486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x79f74493, t0, 0x0cd6b508, 0);
3485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_r.w $t4, $ac1, $t1", "ac1", t4, 0xffffffff,
3486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t1, 0x6731e282, 0);
3487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- EXTRV_RS.W --------\n");
3489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t3", "ac1", t1, 0x00000000,
349086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00000000, t3, 0xbababa00, 0);
349186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t3", "ac1", t1, 0x987b2fff,
349286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xffffffff, t3, 0xbababa00, 0);
349386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t4", "ac2", t2, 0x7fffffff,
349486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xcbcdef01, t4, 0xfbde391f, 0);
349586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t7", "ac1", t1, 0x3fffffff,
349686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x2bcdef01, t7, 0x5555551f, 0);
349786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t5", "ac2", t2, 0xffffffff,
349886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xffffffff, t5, 0x0000cd00, 0);
349986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t2", "ac1", t1, 0x00000000,
350086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xfffffffe, t2, 0x80000001, 0);
350186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t3", "ac1", t1, 0x00000000,
3502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t3, 0xbababa05, 0);
3503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t4", "ac2", t2, 0xffffffff,
3504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t4, 0xfbde390e, 0);
3505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t7", "ac1", t1, 0x00000000,
3506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t7, 0x55555514, 0);
3507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t5", "ac2", t2, 0xffffffff,
3508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t5, 0x0000cd10, 0);
3509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t2", "ac1", t1, 0x00000000,
3510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x55555555, t2, 0x80000005, 0);
3511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t3", "ac2", t2, 0xffffffff,
3512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffff2435, t3, 0x7fffff16, 0);
3513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t0, $ac0, $t1", "ac0", t0, 0x00000000,
3514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x0fde3126, t1, 0xbabababa, 0);
3515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t3, $ac3, $t2", "ac3", t3, 0xffffffff,
3516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xabababab, t2, 0xfbde3976, 0);
3517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t6, $ac1, $t7", "ac1", t6, 0x00000000,
3518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000001, t7, 0x55555555, 0);
3519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac0, $t2", "ac0", t1, 0xffffffff,
3520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x80000000, t2, 0x80000000, 0);
3521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t5, $ac0, $t6", "ac0", t5, 0x00000000,
3522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fffffff, t6, 0x0fde3126, 0);
3523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t7, $ac2, $t8", "ac2", t7, 0xffffffff,
3524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t8, 0xaaaaaaaa, 0);
3525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t8, $ac3, $t9", "ac3", t8, 0xffffffff,
3526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xffffffff, t9, 0xffff2435, 0);
3527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t4, $ac0, $t3", "ac0", t4, 0xffffffff,
3528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xfc79b4d2, t3, 0x12349876, 0);
352986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t5, $ac1, $t4", "ac1", t5, 0xf0f0f0f0,
353086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00000000, t4, 0x00354565, 0);
3531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t6, $ac2, $t5", "ac2", t6, 0x00000000,
353286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00000000, t5, 0x00086755, 0);
353386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t7, $ac3, $t6", "ac3", t7, 0xfbde3976,
353486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x12349876, t6, 0x00000018, 0);
353586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t8, $ac0, $t7", "ac0", t8, 0x0bed7654,
353686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00354565, t7, 0x23534870, 0);
353786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t0, $ac1, $t8", "ac1", t0, 0x23534870,
353886e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x00086755, t8, 0x92784656, 0);
353986e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac2, $t9", "ac2", t1, 0x980b7cde,
354086e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x8f8f8f8f, t9, 0xeeeeeeee, 0);
354186e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac3, $t1", "ac3", t2, 0x00000018,
354286e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xeeeeeeee, t1, 0xcacacaca, 0);
354386e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t3, $ac0, $t1", "ac0", t3, 0x92784656,
354486e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0x1bdbdbdb, t1, 0xbacabaca, 0);
354586e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t4, $ac1, $t4", "ac1", t4, 0xcacacaca,
354686e41825df7c3f90119b3ffcd9308d17492b7113dejanj                    0xdecadeca, t4, 0x1bdbdbdb, 0);
354786e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_EXTV("extrv_rs.w $t5, $ac0, $t8", "ac0", t5, 0xbacabaca,
3548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x5fc92974, t8, 0xffff2435, 0);
3549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t6, $ac1, $t0", "ac1", t6, 0x00000000,
3550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7e08184e, t0, 0x55555555, 0);
3551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t7, $ac2, $t1", "ac2", t7, 0x00000000,
3552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x71c8315f, t1, 0xffff2435, 0);
3553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t8, $ac3, $t2", "ac3", t8, 0xffffffff,
3554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9493110e, t2, 0x55555555, 0);
3555bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t0, $ac0, $t3", "ac0", t0, 0xffffffff,
3556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xbb246228, t3, 0xffff2435, 0);
3557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t4", "ac1", t1, 0x00000000,
3558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x339d8d88, t4, 0xabababab, 0);
3559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t5", "ac2", t2, 0x00000000,
3560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x70974249, t5, 0xfc79b4d2, 0);
3561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t6", "ac1", t1, 0xffffffff,
3562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x8a8d4e7d, t6, 0x00000000, 0);
3563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t7", "ac2", t2, 0xffffffff,
3564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeb1b4335, t7, 0x00000000, 0);
3565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac1, $t8", "ac1", t1, 0x00000000,
3566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x0cd6b508, t8, 0x12349876, 0);
3567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac2, $t0", "ac2", t2, 0x00000000,
3568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x6731e282, t0, 0x00354565, 0);
3569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t3, $ac3, $t1", "ac3", t3, 0xffffffff,
3570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xb6edf28f, t1, 0x00086755, 0);
3571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t4, $ac0, $t2", "ac0", t4, 0x00000000,
3572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x4b4ec9ca, t2, 0x8f8f8f8f, 0);
3573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t5, $ac1, $t3", "ac1", t5, 0xffffffff,
3574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xc1037fa4, t3, 0xeeeeeeee, 0);
3575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t6, $ac2, $t3", "ac2", t6, 0xffffffff,
3576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xcb4ab48f, t3, 0x1bdbdbdb, 0);
3577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t7, $ac3, $t4", "ac3", t7, 0xffffffff,
3578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xaf8f7e18, t4, 0xbb246228, 0);
3579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t8, $ac0, $t5", "ac0", t8, 0xffffffff,
3580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x87df4510, t5, 0x339d8d88, 0);
3581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t0, $ac1, $t6", "ac1", t0, 0xffffffff,
3582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xabf4e8e1, t6, 0x70974249, 0);
3583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t1, $ac2, $t7", "ac2", t1, 0xffffffff,
3584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t7, 0x8a8d4e7d, 0);
3585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t2, $ac3, $t8", "ac3", t2, 0x00000000,
3586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t8, 0xeb1b4335, 0);
3587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t3, $ac0, $t0", "ac0", t3, 0x00000000,
3588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t0, 0x0cd6b508, 0);
3589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_EXTV("extrv_rs.w $t4, $ac1, $t1", "ac1", t4, 0xffffffff,
3590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t1, 0x6731e282, 0);
3591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- INSV --------\n");
3593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t5, $t3", 0xffffffff, 0x00000000, t5, t3,  7,
3594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 1<<7));
3595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t4", 0x004dfbe5, 0xe87927cc, t2, t4,  2,
3596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (15<<7));
3597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t8", 0xf6a3fa3c, 0x083b3571, t0, t8,  4,
3598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 2<<7));
3599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0xbf17fb9a, 0xb9743941, t0, t1, 13,
3600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 0<<7));
3601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t3", 0x2c0bd024, 0xbce5f924, t2, t3,  5,
3602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 5<<7));
3603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t5, $t3", 0x288593c0, 0x722d5e20, t5, t3, 28,
3604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 4<<7));
3605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t4", 0x4d7ff5b4, 0xa1d6f791, t2, t4,  0,
3606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (32<<7));
3607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t8", 0x4557be13, 0x7b11bee7, t0, t8, 16,
3608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (15<<7));
3609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t4, $t5", 0xadcf5772, 0xa5631488, t4, t5, 15,
3610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 7<<7));
3611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0x989a7235, 0xb10bcc65, t0, t1, 19,
3612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 8<<7));
3613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t3", 0x4d6f393a, 0x73f39fca, t2, t3, 30,
3614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 1<<7));
3615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t4, $t1", 0x24a3291e, 0x5648e540, t4, t1,  1,
3616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (28<<7));
3617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t6, $t7", 0xdd91eebf, 0xc54f79e6, t6, t7, 17,
3618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 5<<7));
3619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t5, $t3", 0xf7ce2ec6, 0x5fc92974, t5, t3, 26,
3620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 2<<7));
3621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t4", 0xbc1083e8, 0x7e08184e, t2, t4, 14,
3622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (13<<7));
3623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t8", 0xa617cc31, 0x71c8315f, t0, t8,  8,
3624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (17<<7));
3625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t4, $t5", 0xdfe1e8f0, 0x9493110e, t4, t5,  9,
3626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (11<<7));
3627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t4", 0x31458a23, 0xbb246228, t2, t4, 23,
3628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 9<<7));
3629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t8", 0x848af791, 0x339d8d88, t0, t8,  6,
3630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (19<<7));
3631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0xda3bacdc, 0x70974249, t0, t1, 19,
3632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 8<<7));
3633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0x2fff0000, 0x00000001, t0, t1,  7,
3634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (13<<7));
3635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t3", 0x2fff0000, 0x73741802, t2, t3,  2,
3636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (23<<7));
3637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t4, $t1", 0x2fff0000, 0x80003403, t4, t1,  4,
3638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (28<<7));
3639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0xabababab, 0x00000000, t0, t1,  0,
3640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (22<<7));
3641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t3", 0xdecadeca, 0x80000000, t2, t3, 26,
3642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 0<<7));
3643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t4, $t1", 0xbacabaca, 0x55555555, t4, t1, 12,
3644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 3<<7));
3645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t6, $t7", 0x3545ff80, 0xffff2434, t6, t7,  1,
3646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (23<<7));
3647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t4", 0xc4dbfe20, 0xfc79b4d2, t2, t4, 11,
3648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 8<<7));
3649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t8", 0x00000000, 0x00000000, t0, t8, 16,
3650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    ( 9<<7));
3651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t0, $t1", 0xad80bce4, 0x00086755, t0, t1, 13,
3652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (17<<7));
3653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t2, $t3", 0x7f003245, 0x8f8f8f8f, t2, t3,  8,
3654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (18<<7));
3655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_INSV("insv $t5, $t3", 0x980b7cde, 0xdecadeca, t5, t3,  4,
3656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    (15<<7));
3657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{
3659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("DSP LWX\n");
3660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   ppMem(mem, 16);
3661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int i;
3662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   for(i = 0; i < 64; i+=4){
3663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      TESTDSPINST_LWX(i, t0, t1);
3664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   }
3665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
3666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{
3668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("DSP LHX\n");
3669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   ppMem(mem, 16);
3670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int i;
3671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   for(i = 0; i < 64; i+=2){
3672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      TESTDSPINST_LHX(i, t0, t1);
3673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   }
3674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
3675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj{
3677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("DSP LBUX\n");
3678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   ppMem(mem, 16);
3679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   int i;
3680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   for(i = 0; i < 64; i++){
3681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj      TESTDSPINST_LBUX(i, t0, t1);
3682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   }
3683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}
3684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MADD --------\n");
3686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t4, $t5", "ac3", 0x00000000,
3687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
3688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0x00000004,
3689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
3690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0x80002435,
3691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
3692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0x76548000,
3693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
3694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0x80000000,
3695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
3696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0x00010001,
3697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
3698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0x7fff7fff,
3699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
3700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0x0000c420,
3701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
3702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0x00000000,
3703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
3704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t4, $t1", "ac2", 0x80000000,
3705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
3706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
3707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
3708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0x00000018,
3709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
3710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0xbabababa,
3711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
3712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
3713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
3714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t4, $t5", "ac3", 0xfbde3976,
3715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
3716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0x23534870,
3717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
3718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0x980b7cde,
3719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
3720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t4, $t1", "ac2", 0x00000018,
3721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
3722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0x92784656,
3723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
3724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0xcacacaca,
3725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
3726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0xbacabaca,
3727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
3728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0x12fadeb4,
3729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
3730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t4, $t5", "ac3", 0x7c000790,
3731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
3732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0xffffffff,
3733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
3734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0x24a3291e,
3735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
3736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0xdd91eebf,
3737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
3738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
3739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
3740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0xbc1083e8,
3741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
3742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0xa617cc31,
3743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
3744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
3745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
3746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0x31458a23,
3747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
3748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t4, $t5", "ac3", 0x848af791,
3749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
3750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0xda3bacdc,
3751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
3752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0x649d5cbd,
3753bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
3754bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t4, $t1", "ac2", 0xc0c8c881,
3755bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
3756bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0x7dd81a20,
3757bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
3758bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0x7fff7fff,
3759bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
3760bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0x00000555,
3761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
3762bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0x00000000,
3763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
3764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t4, $t5", "ac3", 0x80000000,
3765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
3766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0x55555555,
3767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
3768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t0, $t8", "ac2", 0xffff8000,
3769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
3770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t0, $t1", "ac0", 0xabababab,
3771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
3772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
3773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
3774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac2, $t4, $t1", "ac2", 0x00000000,
3775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
3776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac3, $t6, $t7", "ac3", 0x00354565,
3777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
3778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac0, $t5, $t3", "ac0", 0x00086755,
3779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
3780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("madd $ac1, $t2, $t4", "ac1", 0xffff8000,
3781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
3782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MADDU --------\n");
3784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t4, $t5", "ac3", 0x00000000,
3785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
3786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0x00000004,
3787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
3788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0x80002435,
3789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
3790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0x76548000,
3791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
3792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0x80000000,
3793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
3794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0x00010001,
3795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
3796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0x7fff7fff,
3797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
3798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0x0000c420,
3799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
3800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0x00000000,
3801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
3802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t4, $t1", "ac2", 0x80000000,
3803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
3804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
3805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
3806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0x00000018,
3807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
3808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0xbabababa,
3809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
3810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
3811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
3812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t4, $t5", "ac3", 0xfbde3976,
3813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
3814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0x23534870,
3815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
3816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0x980b7cde,
3817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
3818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t4, $t1", "ac2", 0x00000018,
3819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
3820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0x92784656,
3821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
3822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0xcacacaca,
3823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
3824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0xbacabaca,
3825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
3826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0x12fadeb4,
3827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
3828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t4, $t5", "ac3", 0x7c000790,
3829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
3830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0xffffffff,
3831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
3832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0x24a3291e,
3833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
3834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0xdd91eebf,
3835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
3836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
3837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
3838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0xbc1083e8,
3839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
3840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0xa617cc31,
3841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
3842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
3843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
3844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0x31458a23,
3845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
3846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t4, $t5", "ac3", 0x848af791,
3847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
3848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0xda3bacdc,
3849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
3850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0x649d5cbd,
3851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
3852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t4, $t1", "ac2", 0xc0c8c881,
3853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
3854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0x7dd81a20,
3855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
3856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0x7fff7fff,
3857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
3858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0x00000555,
3859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
3860bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0x00000000,
3861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
3862bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t4, $t5", "ac3", 0x80000000,
3863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
3864bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0x55555555,
3865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
3866bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t0, $t8", "ac2", 0xffff8000,
3867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
3868bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t0, $t1", "ac0", 0xabababab,
3869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
3870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
3871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
3872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac2, $t4, $t1", "ac2", 0x00000000,
3873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
3874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac3, $t6, $t7", "ac3", 0x00354565,
3875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
3876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac0, $t5, $t3", "ac0", 0x00086755,
3877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
3878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("maddu $ac1, $t2, $t4", "ac1", 0xffff8000,
3879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
3880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MSUB --------\n");
3882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t4, $t5", "ac3", 0x00000000,
3883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
3884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0x00000004,
3885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
3886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0x80002435,
3887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
3888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0x76548000,
3889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
3890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0x80000000,
3891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
3892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0x00010001,
3893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
3894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0x7fff7fff,
3895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
3896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0x0000c420,
3897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
3898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0x00000000,
3899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
3900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t4, $t1", "ac2", 0x80000000,
3901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
3902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
3903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
3904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0x00000018,
3905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
3906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0xbabababa,
3907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
3908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
3909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
3910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t4, $t5", "ac3", 0xfbde3976,
3911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
3912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0x23534870,
3913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
3914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0x980b7cde,
3915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
3916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t4, $t1", "ac2", 0x00000018,
3917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
3918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0x92784656,
3919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
3920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0xcacacaca,
3921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
3922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0xbacabaca,
3923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
3924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0x12fadeb4,
3925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
3926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t4, $t5", "ac3", 0x7c000790,
3927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
3928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0xffffffff,
3929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
3930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0x24a3291e,
3931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
3932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0xdd91eebf,
3933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
3934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
3935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
3936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0xbc1083e8,
3937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
3938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0xa617cc31,
3939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
3940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
3941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
3942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0x31458a23,
3943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
3944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t4, $t5", "ac3", 0x848af791,
3945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
3946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0xda3bacdc,
3947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
3948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0x649d5cbd,
3949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
3950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t4, $t1", "ac2", 0xc0c8c881,
3951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
3952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0x7dd81a20,
3953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
3954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0x7fff7fff,
3955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
3956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0x00000555,
3957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
3958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0x00000000,
3959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
3960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t4, $t5", "ac3", 0x80000000,
3961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
3962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0x55555555,
3963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
3964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t0, $t8", "ac2", 0xffff8000,
3965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
3966bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t0, $t1", "ac0", 0xabababab,
3967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
3968bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
3969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
3970bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac2, $t4, $t1", "ac2", 0x00000000,
3971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
3972bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac3, $t6, $t7", "ac3", 0x00354565,
3973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
3974bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac0, $t5, $t3", "ac0", 0x00086755,
3975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
3976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msub $ac1, $t2, $t4", "ac1", 0xffff8000,
3977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
3978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
3979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MSUBU --------\n");
3980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t4, $t5", "ac3", 0x00000000,
3981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
3982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0x00000004,
3983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
3984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0x80002435,
3985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
3986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0x76548000,
3987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
3988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0x80000000,
3989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
3990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0x00010001,
3991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
3992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0x7fff7fff,
3993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
3994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0x0000c420,
3995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
3996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0x00000000,
3997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
3998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t4, $t1", "ac2", 0x80000000,
3999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
4002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0x00000018,
4003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0xbabababa,
4005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
4006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t4, $t5", "ac3", 0xfbde3976,
4009bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
4010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0x23534870,
4011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
4012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0x980b7cde,
4013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
4014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t4, $t1", "ac2", 0x00000018,
4015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0x92784656,
4017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0xcacacaca,
4019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0xbacabaca,
4021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t4, $t5", "ac3", 0x7c000790,
4025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
4026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0xffffffff,
4027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
4028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0x24a3291e,
4029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
4030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0xdd91eebf,
4031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
4032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
4033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
4034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0xbc1083e8,
4035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
4036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0xa617cc31,
4037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
4038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
4039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
4040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0x31458a23,
4041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
4042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t4, $t5", "ac3", 0x848af791,
4043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
4044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0xda3bacdc,
4045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
4046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0x649d5cbd,
4047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
4048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t4, $t1", "ac2", 0xc0c8c881,
4049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
4050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0x7dd81a20,
4051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
4052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0x7fff7fff,
4053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
4054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0x00000555,
4055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
4056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0x00000000,
4057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
4058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t4, $t5", "ac3", 0x80000000,
4059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
4060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0x55555555,
4061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
4062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t0, $t8", "ac2", 0xffff8000,
4063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
4064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t0, $t1", "ac0", 0xabababab,
4065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
4066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
4067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
4068bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac2, $t4, $t1", "ac2", 0x00000000,
4069bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
4070bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac3, $t6, $t7", "ac3", 0x00354565,
4071bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
4072bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac0, $t5, $t3", "ac0", 0x00086755,
4073bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
4074bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("msubu $ac1, $t2, $t4", "ac1", 0xffff8000,
4075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
4076bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MAQ_S.W.PHR --------\n");
4078bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t4, $t5", "ac3", 0x00000000,
4079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
4080bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0x00000004,
4081bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
4082bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0x80002435,
4083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
4084bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0x76548000,
4085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
4086bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0x80000000,
4087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
4088bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0x00010001,
4089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
4090bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0x7fff7fff,
4091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
4092bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0x0000c420,
4093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
4094bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0x00000000,
4095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
4096bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t4, $t1", "ac2", 0x80000000,
4097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4098bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
4100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0x00000018,
4101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0xbabababa,
4103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
4104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t4, $t5", "ac3", 0xfbde3976,
4107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
4108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0x23534870,
4109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
4110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0x980b7cde,
4111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
4112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t4, $t1", "ac2", 0x00000018,
4113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0x92784656,
4115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0xcacacaca,
4117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0xbacabaca,
4119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t4, $t5", "ac3", 0x7c000790,
4123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
4124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0xffffffff,
4125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
4126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0x24a3291e,
4127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
4128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0xdd91eebf,
4129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
4130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
4131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
4132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0xbc1083e8,
4133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
4134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0xa617cc31,
4135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
4136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
4137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
4138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0x31458a23,
4139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
4140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t4, $t5", "ac3", 0x848af791,
4141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
4142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0xda3bacdc,
4143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
4144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0x649d5cbd,
4145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
4146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t4, $t1", "ac2", 0xc0c8c881,
4147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
4148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0x7dd81a20,
4149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
4150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0x7fff7fff,
4151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
4152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0x00000555,
4153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
4154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0x00000000,
4155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
4156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t4, $t5", "ac3", 0x80000000,
4157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
4158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0x55555555,
4159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
4160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t0, $t8", "ac2", 0xffff8000,
4161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
4162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t0, $t1", "ac0", 0xabababab,
4163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
4164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
4165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
4166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac2, $t4, $t1", "ac2", 0x00000000,
4167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
4168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac3, $t6, $t7", "ac3", 0x00354565,
4169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
4170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac0, $t5, $t3", "ac0", 0x00086755,
4171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
4172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phr $ac1, $t2, $t4", "ac1", 0xffff8000,
4173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
4174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MAQ_SA.W.PHR --------\n");
4176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t4, $t5", "ac3", 0x00000000,
4177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
4178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0x00000004,
4179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
4180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0x80002435,
4181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
4182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0x76548000,
4183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
4184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0x80000000,
4185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
4186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0x00010001,
4187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
4188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0x7fff7fff,
4189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
4190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0x0000c420,
4191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
4192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0x00000000,
4193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
4194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t4, $t1", "ac2", 0x80000000,
4195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
4198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0x00000018,
4199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0xbabababa,
4201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
4202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t4, $t5", "ac3", 0xfbde3976,
4205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
4206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0x23534870,
4207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
4208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0x980b7cde,
4209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
4210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t4, $t1", "ac2", 0x00000018,
4211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0x92784656,
4213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0xcacacaca,
4215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0xbacabaca,
4217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t4, $t5", "ac3", 0x7c000790,
4221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
4222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0xffffffff,
4223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
4224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0x24a3291e,
4225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
4226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0xdd91eebf,
4227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
4228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
4229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
4230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0xbc1083e8,
4231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
4232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0xa617cc31,
4233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
4234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
4235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
4236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0x31458a23,
4237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
4238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t4, $t5", "ac3", 0x848af791,
4239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
4240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0xda3bacdc,
4241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
4242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0x649d5cbd,
4243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
4244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t4, $t1", "ac2", 0xc0c8c881,
4245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
4246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0x7dd81a20,
4247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
4248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0x7fff7fff,
4249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
4250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0x00000555,
4251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
4252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0x00000000,
4253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
4254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t4, $t5", "ac3", 0x80000000,
4255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
4256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0x55555555,
4257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
4258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t0, $t8", "ac2", 0xffff8000,
4259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
4260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t0, $t1", "ac0", 0xabababab,
4261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
4262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
4263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
4264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac2, $t4, $t1", "ac2", 0x00000000,
4265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
4266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac3, $t6, $t7", "ac3", 0x00354565,
4267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
4268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac0, $t5, $t3", "ac0", 0x00086755,
4269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
4270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phr $ac1, $t2, $t4", "ac1", 0xffff8000,
4271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
4272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MAQ_S.W.PHL --------\n");
4274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t4, $t5", "ac3", 0x00000000,
4275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
4276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0x00000004,
4277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
4278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0x80002435,
4279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
4280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0x76548000,
4281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
4282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0x80000000,
4283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
4284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0x00010001,
4285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
4286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0x7fff7fff,
4287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
4288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0x0000c420,
4289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
4290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0x00000000,
4291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
4292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t4, $t1", "ac2", 0x80000000,
4293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
4296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0x00000018,
4297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0xbabababa,
4299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
4300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t4, $t5", "ac3", 0xfbde3976,
4303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
4304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0x23534870,
4305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
4306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0x980b7cde,
4307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
4308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t4, $t1", "ac2", 0x00000018,
4309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0x92784656,
4311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0xcacacaca,
4313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0xbacabaca,
4315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t4, $t5", "ac3", 0x7c000790,
4319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
4320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0xffffffff,
4321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
4322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0x24a3291e,
4323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
4324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0xdd91eebf,
4325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
4326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
4327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
4328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0xbc1083e8,
4329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
4330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0xa617cc31,
4331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
4332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
4333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
4334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0x31458a23,
4335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
4336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t4, $t5", "ac3", 0x848af791,
4337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
4338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0xda3bacdc,
4339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
4340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0x649d5cbd,
4341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
4342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t4, $t1", "ac2", 0xc0c8c881,
4343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
4344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0x7dd81a20,
4345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
4346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0x7fff7fff,
4347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
4348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0x00000555,
4349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
4350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0x00000000,
4351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
4352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t4, $t5", "ac3", 0x80000000,
4353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
4354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0x55555555,
4355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
4356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t0, $t8", "ac2", 0xffff8000,
4357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
4358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t0, $t1", "ac0", 0xabababab,
4359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
4360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
4361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
4362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac2, $t4, $t1", "ac2", 0x00000000,
4363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
4364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac3, $t6, $t7", "ac3", 0x00354565,
4365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
4366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac0, $t5, $t3", "ac0", 0x00086755,
4367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
4368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_s.w.phl $ac1, $t2, $t4", "ac1", 0xffff8000,
4369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
4370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MAQ_SA.W.PHL --------\n");
4373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t4, $t5", "ac3", 0x00000000,
4374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
4375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0x00000004,
4376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
4377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0x80002435,
4378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
4379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0x76548000,
4380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
4381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0x80000000,
4382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
4383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0x00010001,
4384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
4385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0x7fff7fff,
4386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
4387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0x0000c420,
4388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
4389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0x00000000,
4390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
4391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t4, $t1", "ac2", 0x80000000,
4392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
4395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0x00000018,
4396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0xbabababa,
4398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
4399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t4, $t5", "ac3", 0xfbde3976,
4402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
4403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0x23534870,
4404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
4405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0x980b7cde,
4406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
4407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t4, $t1", "ac2", 0x00000018,
4408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0x92784656,
4410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0xcacacaca,
4412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0xbacabaca,
4414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t4, $t5", "ac3", 0x7c000790,
4418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
4419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0xffffffff,
4420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
4421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0x24a3291e,
4422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
4423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0xdd91eebf,
4424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
4425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
4426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
4427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0xbc1083e8,
4428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
4429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0xa617cc31,
4430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
4431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
4432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
4433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0x31458a23,
4434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
4435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t4, $t5", "ac3", 0x848af791,
4436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
4437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0xda3bacdc,
4438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
4439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0x649d5cbd,
4440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
4441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t4, $t1", "ac2", 0xc0c8c881,
4442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
4443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0x7dd81a20,
4444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
4445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0x7fff7fff,
4446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
4447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0x00000555,
4448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
4449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0x00000000,
4450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
4451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t4, $t5", "ac3", 0x80000000,
4452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
4453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0x55555555,
4454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
4455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t0, $t8", "ac2", 0xffff8000,
4456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
4457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t0, $t1", "ac0", 0xabababab,
4458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
4459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
4460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
4461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac2, $t4, $t1", "ac2", 0x00000000,
4462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
4463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac3, $t6, $t7", "ac3", 0x00354565,
4464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
4465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac0, $t5, $t3", "ac0", 0x00086755,
4466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
4467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("maq_sa.w.phl $ac1, $t2, $t4", "ac1", 0xffff8000,
4468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
4469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MTHI, MTLO, MFHI, MFLO --------\n");
4471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac0", 0x00000000, 0x00000006);
4472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac1", 0x00000055, 0x00000286);
4473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac2", 0x00000018, 0x00000fff);
4474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac3", 0x7fffffff, 0x7fffffff);
4475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac0", 0xffffffff, 0x00000001);
4476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac1", 0x00000001, 0xffffffff);
4477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac2", 0x00000002, 0x00000006);
4478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_HILO("ac3", 0x00000356, 0x00000555);
4479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MODSUB --------\n");
4481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t1, $t2", 0x00000000, 0x00000000,
4482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
4483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t3, $t4", 0x00045fb2, 0x00000286,
4484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
4485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t1, $t5", 0x00002435, 0xffff3421,
4486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
4487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
4488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
4489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t5, $t3, $t2", 0xf973437b, 0x80000000,
4490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
4491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t4, $t8", 0x00010001, 0xffffffff,
4492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
4493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
4494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
4495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t6, $t1", 0x0000c420, 0x00000555,
4496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
4497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t3, $t4", 0x00000004, 1073741824,
4498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
4499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t1, $t5", 0x80002435, 0x80003421,
4500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
4501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t6, $t7, $t3", 0x76548000, 0x73468000,
4502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
4503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t5, $t3, $t2", 0x80000000, 0x80000000,
4504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
4505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t4, $t8", 0x00010001, 0xffffffff,
4506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
4507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
4508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
4509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t6, $t1", 0x0000c420, 0x00000555,
4510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
4511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t1, $t2", 0x00000000, 0x00000000,
4512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
4513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t3, $t4", 0x80000000, 0x80000000,
4514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
4515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
4516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
4517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t6, $t7, $t3", 0x00000018, 0xffff2435,
4518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
4519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t5, $t3, $t2", 0xbabababa, 0xabababab,
4520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
4521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
4522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
4523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t8, $t0", 0xfbde3976, 0x00000000,
4524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
4525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t6, $t1", 0x23534870, 0x00354565,
4526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
4527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t1, $t2", 0x980b7cde, 0x00086755,
4528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
4529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
4530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
4531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
4532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
4533bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
4534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
4535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
4536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
4537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
4538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
4539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
4540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
4541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t6, $t1", 0xffffffff, 0xffffffff,
4542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
4543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
4544bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
4545bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
4546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
4547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
4548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
4549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
4550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
4551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
4552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
4553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
4554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
4555bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
4556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
4557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("modsub $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
4558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
4559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MTHLIP --------\n");
4561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac1", "ac1", 0xda3bacdc, 0x70974249,
4562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x00000000, t1,  7);
4563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t2, $ac2", "ac2", 0x2fff0000, 0x00000001,
4564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xe87927cc, t2,  2);
4565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac1", "ac1", 0x2fff0000, 0x73741802,
4566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x083b3571, t1,  4);
4567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t2, $ac2", "ac2", 0x2fff0000, 0x80003403,
4568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xb9743941, t2, 13);
4569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac1", "ac1", 0xff460000, 0x73468004,
4570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xbce5f924, t1,  5);
4571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t2, $ac2", "ac2", 0x00008000, 0x80000000,
4572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xcc3c201c, t2, 22);
4573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t0, $ac0", "ac0", 0x00010001, 0xffffff07,
4574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x1ebaf88e, t0, 31);
4575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t3, $ac3", "ac3", 0x7fff7fff, 0x7fff7f07,
4576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x722d5e20, t3, 28);
4577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t6, $ac1", "ac1", 0xffffffff, 0x00000505,
4578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xa1d6f791, t6,  0);
4579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t4, $ac3", "ac3", 0xabababab, 0x00000000,
4580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x7b11bee7, t4, 26);
4581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac0", "ac0", 0xdecadeca, 0x80000000,
4582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xa5631488, t1, 12);
4583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t2, $ac1", "ac1", 0xbacabaca, 0x55555555,
4584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xb10bcc65, t2,  1);
4585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t3, $ac2", "ac2", 0x3545ff80, 0xffff2434,
4586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x73f39fca, t3, 31);
4587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t5, $ac0", "ac0", 0x734680bc, 0xabababa3,
4588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x5648e540, t5, 11);
4589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t7, $ac2", "ac2", 0xc4dbfe20, 0xfc79b4d2,
4590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xc54f79e6, t7, 16);
4591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t8, $ac3", "ac3", 0x00000000, 0x00000000,
4592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x5fc92974, t8, 29);
4593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t4, $ac0", "ac0", 0x55555555, 0x00354561,
4594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x7e08184e, t4, 13);
4595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t5, $ac1", "ac1", 0xad80bce4, 0x00086755,
4596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x71c8315f, t5,  8);
4597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t6, $ac2", "ac2", 0x7f003245, 0x8f8f8f8f,
4598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x9493110e, t6,  7);
4599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t7, $ac3", "ac3", 0x93474bde, 0xeeeeeeee,
4600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xbb246228, t7, 21);
4601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t8, $ac0", "ac0", 0xf97343ff, 0x1bdbdbdb,
4602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x339d8d88, t8,  4);
4603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t0, $ac1", "ac1", 0x980b7cde, 0xdecadeca,
4604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x70974249, t0, 32);
4605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac2", "ac2", 0x0555adec, 0x93474bde,
4606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x339d8d88, t1, 33);
4607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac0", "ac0", 0x00000000, 0x0cd6b508,
4608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x12349876, t1, 12);
4609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t2, $ac1", "ac1", 0x00000000, 0x6731e282,
4610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x00354565, t2,  1);
4611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t3, $ac2", "ac2", 0xffffffff, 0xb6edf28f,
4612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x00086755, t3, 31);
4613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t5, $ac0", "ac0", 0x00000000, 0x4b4ec9ca,
4614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x8f8f8f8f, t5, 11);
4615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t7, $ac2", "ac2", 0xffffffff, 0xc1037fa4,
4616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xeeeeeeee, t7, 16);
4617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t8, $ac3", "ac3", 0xffffffff, 0xcb4ab48f,
4618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x1bdbdbdb, t8, 29);
4619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t4, $ac0", "ac0", 0xffffffff, 0xaf8f7e18,
4620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xbb246228, t4, 13);
4621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t5, $ac1", "ac1", 0xffffffff, 0x87df4510,
4622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x339d8d88, t5,  8);
4623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t6, $ac2", "ac2", 0xffffffff, 0xabf4e8e1,
4624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x70974249, t6,  7);
4625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t7, $ac3", "ac3", 0xffffffff, 0xf4c0eeac,
4626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x8a8d4e7d, t7, 21);
4627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t8, $ac0", "ac0", 0x00000000, 0x006a54f2,
4628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0xeb1b4335, t8,  4);
4629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t0, $ac1", "ac1", 0x00000000, 0x79f74493,
4630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x0cd6b508, t0, 32);
4631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_MTHLIP("mthlip $t1, $ac2", "ac2", 0xffffffff, 0x9c09e313,
4632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                      0x6731e282, t1, 33);
4633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULEQ_S.W.PHL --------\n");
4635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t1, $t2", 0x00000000,
4636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
4637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t3, $t4", 0x00045fb2,
4638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000286, t2, t3, t4);
4639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t1, $t5", 0x80002435,
4640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, t4, t1, t5);
4641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t6, $t7, $t3", 0x07654cb8,
4642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
4643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t5, $t3, $t2", 0xf973437b,
4644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
4645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t4, $t8", 0x00010001,
4646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t2, t4, t8);
4647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t8, $t0", 0x7fff7fff,
4648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
4649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t6, $t1", 0x0000c420,
4650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
4651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t3, $t4", 0x80000000,
4652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
4653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t1, $t5", 0xaaaaaaaa,
4654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, t4, t1, t5);
4655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t6, $t7, $t3", 0x00000018,
4656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
4657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t5, $t3, $t2", 0xbabababa,
4658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
4659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t4, $t8", 0xf0f0f0f0,
4660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
4661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t8, $t0", 0xfbde3976,
4662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
4663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t6, $t1", 0x23534870,
4664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
4665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t1, $t2", 0x980b7cde,
4666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
4667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t3, $t4", 0x00000018,
4668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
4669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t1, $t5", 0x92784656,
4670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
4671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t6, $t7, $t3", 0xcacacaca,
4672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
4673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t5, $t3, $t2", 0xbacabaca,
4674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
4675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t4, $t8", 0x12fadeb4,
4676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
4677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t8, $t0", 0x7c000790,
4678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
4679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t6, $t1", 0xffffffff,
4680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
4681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t1, $t2", 0xf2f4df1f,
4682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
4683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t3, $t4", 0x435f909a,
4684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
4685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t1, $t5", 0x2106ba5f,
4686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
4687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t6, $t7, $t3", 0x246a6376,
4688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
4689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t5, $t3, $t2", 0x1046a1a3,
4690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
4691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t2, $t4, $t8", 0x638ca515,
4692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
4693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t0, $t8, $t0", 0xf63e7a9d,
4694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
4695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phl $t4, $t6, $t1", 0xbd6845cd,
4696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
4697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULEQ_S.W.PHR --------\n");
4699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t1, $t2", 0x00000000,
4700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
4701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t3, $t4", 0x00045fb2,
4702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000286, t2, t3, t4);
4703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t1, $t5", 0x80002435,
4704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, t4, t1, t5);
4705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t6, $t7, $t3", 0x07654cb8,
4706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
4707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t5, $t3, $t2", 0xf973437b,
4708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
4709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t4, $t8", 0x00010001,
4710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t2, t4, t8);
4711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t8, $t0", 0x7fff7fff,
4712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
4713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t6, $t1", 0x0000c420,
4714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
4715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t3, $t4", 0x80000000,
4716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
4717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t1, $t5", 0xaaaaaaaa,
4718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, t4, t1, t5);
4719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t6, $t7, $t3", 0x00000018,
4720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
4721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t5, $t3, $t2", 0xbabababa,
4722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
4723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t4, $t8", 0xf0f0f0f0,
4724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
4725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t8, $t0", 0xfbde3976,
4726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
4727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t6, $t1", 0x23534870,
4728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
4729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t1, $t2", 0x980b7cde,
4730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
4731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t3, $t4", 0x00000018,
4732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
4733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t1, $t5", 0x92784656,
4734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
4735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t6, $t7, $t3", 0xcacacaca,
4736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
4737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t5, $t3, $t2", 0xbacabaca,
4738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
4739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t4, $t8", 0x12fadeb4,
4740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
4741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t8, $t0", 0x7c000790,
4742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
4743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t6, $t1", 0xffffffff,
4744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
4745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t1, $t2", 0xf2f4df1f,
4746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
4747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t3, $t4", 0x435f909a,
4748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
4749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t1, $t5", 0x2106ba5f,
4750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
4751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t6, $t7, $t3", 0x246a6376,
4752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
4753bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t5, $t3, $t2", 0x1046a1a3,
4754bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
4755bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t2, $t4, $t8", 0x638ca515,
4756bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
4757bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t0, $t8, $t0", 0xf63e7a9d,
4758bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
4759bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleq_s.w.phr $t4, $t6, $t1", 0xbd6845cd,
4760bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
4761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4762bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULEU_S.PH.QBL --------\n");
4763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t1, $t2", 0x00000000,
4764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
4765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t3, $t4", 0x00045fb2,
4766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000286, t2, t3, t4);
4767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t1, $t5", 0x80002435,
4768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, t4, t1, t5);
4769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t6, $t7, $t3", 0x07654cb8,
4770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
4771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t5, $t3, $t2", 0xf973437b,
4772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
4773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t4, $t8", 0x00010001,
4774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t2, t4, t8);
4775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t8, $t0", 0x7fff7fff,
4776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
4777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t6, $t1", 0x0000c420,
4778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
4779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t3, $t4", 0x80000000,
4780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
4781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t1, $t5", 0xaaaa8000,
4782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55558000, t4, t1, t5);
4783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t6, $t7, $t3", 0x00000018,
4784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
4785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t5, $t3, $t2", 0xbabababa,
4786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
4787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t4, $t8", 0xf0f0f0f0,
4788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
4789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t8, $t0", 0xfbde3976,
4790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
4791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t6, $t1", 0x23534870,
4792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
4793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t1, $t2", 0x980b7cde,
4794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
4795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t3, $t4", 0x00000018,
4796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
4797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t1, $t5", 0x92784656,
4798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
4799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t6, $t7, $t3", 0xcacacaca,
4800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
4801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t5, $t3, $t2", 0xbacabaca,
4802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
4803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t4, $t8", 0x12fadeb4,
4804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
4805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t8, $t0", 0x7c000790,
4806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
4807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t6, $t1", 0xffffffff,
4808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
4809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t1, $t2", 0xffffffff,
4810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
4811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t3, $t4", 0xffffffff,
4812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
4813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t1, $t5", 0xffffffff,
4814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
4815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t6, $t7, $t3", 0xffffffff,
4816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
4817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t5, $t3, $t2", 0xffffffff,
4818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
4819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t2, $t4, $t8", 0x00000000,
4820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
4821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t0, $t8, $t0", 0x00000000,
4822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
4823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbl $t4, $t6, $t1", 0xffffffff,
4824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
4825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULEU_S.PH.QBR --------\n");
4827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t1, $t2", 0x00000000,
4828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
4829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t3, $t4", 0x00045fb2,
4830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000286, t2, t3, t4);
4831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t1, $t5", 0x80002435,
4832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, t4, t1, t5);
4833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t6, $t7, $t3", 0x07654cb8,
4834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
4835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t5, $t3, $t2", 0xf973437b,
4836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
4837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t4, $t8", 0x00010001,
4838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t2, t4, t8);
4839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t8, $t0", 0x7fff7fff,
4840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
4841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t6, $t1", 0x0000c420,
4842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
4843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t3, $t4", 0x80000000,
4844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
4845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t1, $t5", 0xaaaa8000,
4846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55558000, t4, t1, t5);
4847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t6, $t7, $t3", 0x00000018,
4848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
4849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t5, $t3, $t2", 0xbabababa,
4850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
4851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t4, $t8", 0xf0f0f0f0,
4852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
4853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t8, $t0", 0xfbde3976,
4854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
4855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t6, $t1", 0x23534870,
4856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
4857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t1, $t2", 0x980b7cde,
4858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
4859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t3, $t4", 0x00000018,
4860bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
4861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t1, $t5", 0x92784656,
4862bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
4863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t6, $t7, $t3", 0xcacacaca,
4864bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
4865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t5, $t3, $t2", 0xbacabaca,
4866bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
4867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t4, $t8", 0x12fadeb4,
4868bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
4869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t8, $t0", 0x7c000790,
4870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
4871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t6, $t1", 0xffffffff,
4872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
4873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t1, $t2", 0xffffffff,
4874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
4875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t3, $t4", 0xffffffff,
4876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
4877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t1, $t5", 0xffffffff,
4878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
4879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t6, $t7, $t3", 0xffffffff,
4880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
4881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t5, $t3, $t2", 0xffffffff,
4882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
4883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t2, $t4, $t8", 0x00000000,
4884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
4885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t0, $t8, $t0", 0x00000000,
4886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
4887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("muleu_s.ph.qbr $t4, $t6, $t1", 0xffffffff,
4888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
4889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULQ_RS.PH --------\n");
4891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
4892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
4893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t3, $t4", 0x00045fb2, 0x00000286,
4894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
4895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t1, $t5", 0x80002435, 0x80003421,
4896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
4897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
4898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
4899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
4900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
4901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t4, $t8", 0x00010001, 0xffffffff,
4902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
4903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t8, $t0", 0x7fff7fff, 0x7fff7fff,
4904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
4905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
4906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
4907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
4908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
4909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t1, $t5", 0xaaaa8000, 0x55558000,
4910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
4911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
4912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
4913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
4914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
4915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
4916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
4917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
4918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
4919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
4920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
4921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
4922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
4923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
4924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
4925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
4926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
4927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
4928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
4929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
4930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
4931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
4932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
4933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
4934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
4935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
4936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
4937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
4938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
4939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
4940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
4941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
4942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
4943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
4944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
4945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
4946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
4947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
4948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
4949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
4950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
4951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("mulq_rs.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
4952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
4953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
4954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULSAQ_S.W.PH --------\n");
4955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x00000000,
4956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0xffffffff, 0x80000000, t4, t5);
4957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x00000004,
4958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             1073741824, 0x00000000, 0x00000006, t0, t1);
4959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x80002435,
4960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80003421, 0x00000000, 1073741824, t2, t3);
4961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x76548000,
4962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x73468000, 0x00000000, 0x7fffffff, t6, t7);
4963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x80000000,
4964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0x00000000, 0x00000001, t5, t3);
4965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00010001,
4966bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
4967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x7fff7fff,
4968bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
4969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x0000c420,
4970bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, 0x00000000, 0x0fde3126, t0, t1);
4971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x00000000,
4972bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x55555555, t2, t3);
4973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x80000000,
4974bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, 0xffffffff, 0xffff2435, t4, t1);
4975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
4976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, 0xffffffff, 0xabababab, t6, t7);
4977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00000018,
4978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
4979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbabababa,
4980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, 0x00000000, 0x00000000, t2, t4);
4981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
4982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
4983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t4, $t5", "ac3", 0xfbde3976,
4984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, 0x00000000, 0x12349876, t4, t5);
4985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x23534870,
4986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, 0x00000000, 0x00354565, t0, t1);
4987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x980b7cde,
4988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, 0x00000000, 0x00086755, t2, t3);
4989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000018,
4990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
4991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x92784656,
4992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
4993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0xcacacaca,
4994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
4995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xbacabaca,
4996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
4997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x12fadeb4,
4998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
4999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x7c000790,
5000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
5001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffffffff,
5002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, 0x00000000, 0x083b3571, t0, t8);
5003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0x24a3291e,
5004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
5005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0xdd91eebf,
5006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
5007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
5008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
5009bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0xbc1083e8,
5010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
5011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0xa617cc31,
5012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
5013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
5014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
5015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x31458a23,
5016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
5017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x848af791,
5018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
5019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0xda3bacdc,
5020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
5021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0x649d5cbd,
5022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
5023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t4, $t1", "ac2", 0xc0c8c881,
5024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
5025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x7dd81a20,
5026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
5027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x7fff7fff,
5028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
5029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x00000555,
5030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
5031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0x00000000,
5032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
5033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t4, $t5", "ac3", 0x80000000,
5034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
5035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0x55555555,
5036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
5037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t0, $t8", "ac2", 0xffff8000,
5038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
5039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t0, $t1", "ac0", 0xabababab,
5040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, 0x00000000, 0x70974249, t0, t1);
5041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
5042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
5043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac2, $t4, $t1", "ac2", 0x00000000,
5044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
5045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac3, $t6, $t7", "ac3", 0x00354565,
5046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
5047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac0, $t5, $t3", "ac0", 0x00086755,
5048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, 0x00000000, 0x6731e282, t5, t3);
5049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_DSPC("mulsaq_s.w.ph $ac1, $t2, $t4", "ac1", 0xffff8000,
5050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
5051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULT --------\n");
5053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t4, $t5", "ac3", 0x00000000,
5054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
5055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0x00000004,
5056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
5057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0x80002435,
5058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
5059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0x76548000,
5060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
5061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0x80000000,
5062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
5063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0x00010001,
5064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
5065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0x7fff7fff,
5066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
5067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0x0000c420,
5068bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
5069bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0x00000000,
5070bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
5071bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t4, $t1", "ac2", 0x80000000,
5072bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
5073bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
5074bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
5075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0x00000018,
5076bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
5077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0xbabababa,
5078bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
5079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
5080bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
5081bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t4, $t5", "ac3", 0xfbde3976,
5082bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
5083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0x23534870,
5084bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
5085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0x980b7cde,
5086bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
5087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t4, $t1", "ac2", 0x00000018,
5088bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
5089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0x92784656,
5090bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
5091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0xcacacaca,
5092bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
5093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0xbacabaca,
5094bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
5095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0x12fadeb4,
5096bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
5097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t4, $t5", "ac3", 0x7c000790,
5098bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
5099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0xffffffff,
5100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
5101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0x24a3291e,
5102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
5103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0xdd91eebf,
5104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
5105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
5106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
5107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0xbc1083e8,
5108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
5109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0xa617cc31,
5110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
5111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
5112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
5113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0x31458a23,
5114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
5115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t4, $t5", "ac3", 0x848af791,
5116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
5117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0xda3bacdc,
5118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
5119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0x649d5cbd,
5120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
5121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t4, $t1", "ac2", 0xc0c8c881,
5122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
5123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0x7dd81a20,
5124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
5125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0x7fff7fff,
5126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
5127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0x00000555,
5128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
5129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0x00000000,
5130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
5131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t4, $t5", "ac3", 0x80000000,
5132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
5133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0x55555555,
5134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
5135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t0, $t8", "ac2", 0xffff8000,
5136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
5137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t0, $t1", "ac0", 0xabababab,
5138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
5139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
5140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
5141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac2, $t4, $t1", "ac2", 0x00000000,
5142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
5143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac3, $t6, $t7", "ac3", 0x00354565,
5144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
5145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac0, $t5, $t3", "ac0", 0x00086755,
5146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
5147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("mult $ac1, $t2, $t4", "ac1", 0xffff8000,
5148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
5149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- MULTU --------\n");
5151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t4, $t5", "ac3", 0x00000000,
5152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0xffffffff, 0x80000000, t4, t5);
5153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0x00000004,
5154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               1073741824, 0x00000000, 0x00000006, t0, t1);
5155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0x80002435,
5156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80003421, 0x00000000, 1073741824, t2, t3);
5157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0x76548000,
5158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x73468000, 0x00000000, 0x7fffffff, t6, t7);
5159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0x80000000,
5160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0x00000000, 0x00000001, t5, t3);
5161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0x00010001,
5162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0xffffffff, 0xffffffff, t2, t4);
5163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0x7fff7fff,
5164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, 0xffffffff, 0xffffffff, t0, t8);
5165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0x0000c420,
5166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, 0x00000000, 0x0fde3126, t0, t1);
5167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0x00000000,
5168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x55555555, t2, t3);
5169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t4, $t1", "ac2", 0x80000000,
5170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, 0xffffffff, 0xffff2435, t4, t1);
5171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0xaaaaaaaa,
5172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, 0xffffffff, 0xabababab, t6, t7);
5173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0x00000018,
5174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, 0xffffffff, 0xfc79b4d2, t5, t3);
5175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0xbabababa,
5176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, 0x00000000, 0x00000000, t2, t4);
5177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0xf0f0f0f0,
5178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, 0x00000000, 0x00000000, t0, t8);
5179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t4, $t5", "ac3", 0xfbde3976,
5180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, 0x00000000, 0x12349876, t4, t5);
5181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0x23534870,
5182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, 0x00000000, 0x00354565, t0, t1);
5183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0x980b7cde,
5184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, 0x00000000, 0x00086755, t2, t3);
5185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t4, $t1", "ac2", 0x00000018,
5186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, 0xffffffff, 0x8f8f8f8f, t4, t1);
5187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0x92784656,
5188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, 0xffffffff, 0xeeeeeeee, t6, t7);
5189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0xcacacaca,
5190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, 0x00000000, 0x1bdbdbdb, t5, t3);
5191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0xbacabaca,
5192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, 0xffffffff, 0xdecadeca, t2, t4);
5193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0x12fadeb4,
5194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, 0xffffffff, 0x93474bde, t0, t8);
5195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t4, $t5", "ac3", 0x7c000790,
5196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, 0xffffffff, 0xfabfabfa, t4, t5);
5197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0xffffffff,
5198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, 0x00000000, 0x083b3571, t0, t8);
5199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0x24a3291e,
5200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5648e540, 0xffffffff, 0xb9743941, t0, t1);
5201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0xdd91eebf,
5202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc54f79e6, 0xffffffff, 0xbce5f924, t2, t3);
5203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t4, $t1", "ac2", 0xf7ce2ec6,
5204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x5fc92974, 0xffffffff, 0xcc3c201c, t4, t1);
5205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0xbc1083e8,
5206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7e08184e, 0x00000000, 0x1ebaf88e, t6, t7);
5207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0xa617cc31,
5208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x71c8315f, 0x00000000, 0x722d5e20, t5, t3);
5209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0xdfe1e8f0,
5210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9493110e, 0xffffffff, 0xa1d6f791, t2, t4);
5211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0x31458a23,
5212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xbb246228, 0x00000000, 0x7b11bee7, t0, t8);
5213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t4, $t5", "ac3", 0x848af791,
5214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x339d8d88, 0xffffffff, 0xa5631488, t4, t5);
5215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0xda3bacdc,
5216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x70974249, 0xffffffff, 0xb10bcc65, t0, t1);
5217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0x649d5cbd,
5218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8a8d4e7d, 0x00000000, 0x73f39fca, t2, t3);
5219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t4, $t1", "ac2", 0xc0c8c881,
5220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeb1b4335, 0x00000000, 0x5648e540, t4, t1);
5221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0x7dd81a20,
5222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x0cd6b508, 0xffffffff, 0xc54f79e6, t6, t7);
5223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0x7fff7fff,
5224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x6731e282, 0x00000000, 0x5fc92974, t5, t3);
5225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0x00000555,
5226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xb6edf28f, 0x00000000, 0x7e08184e, t2, t4);
5227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0x00000000,
5228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x4b4ec9ca, 0x00000000, 0x71c8315f, t0, t8);
5229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t4, $t5", "ac3", 0x80000000,
5230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xc1037fa4, 0xffffffff, 0x9493110e, t4, t5);
5231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0x55555555,
5232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, 0xffffffff, 0xbb246228, t2, t4);
5233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t0, $t8", "ac2", 0xffff8000,
5234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f8000, 0x00000000, 0x339d8d88, t0, t8);
5235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t0, $t1", "ac0", 0xabababab,
5236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, 0x00000000, 0x70974249, t0, t1);
5237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t3", "ac1", 0xfc79b4d2,
5238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, 0xffffffff, 0x8a8d4e7d, t2, t3);
5239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac2, $t4, $t1", "ac2", 0x00000000,
5240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, 0xffffffff, 0xeb1b4335, t4, t1);
5241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac3, $t6, $t7", "ac3", 0x00354565,
5242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, 0x00000000, 0x0cd6b508, t6, t7);
5243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac0, $t5, $t3", "ac0", 0x00086755,
5244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, 0x00000000, 0x6731e282, t5, t3);
5245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_AC_RS_RT_NODSPC("multu $ac1, $t2, $t4", "ac1", 0xffff8000,
5246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c098000, 0xffffffff, 0xb6edf28f, t2, t4);
5247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PACKRL.PH --------\n");
5249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t1, $t2", 0x00000000,
5250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t3, $t4", 0x045fb232,
5252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00028632, t2, t3, t4);
5253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t1, $t5", 0xfabc3435,
5254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfabc3421, t4, t1, t5);
5255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t6, $t7, $t3", 0x07654cb8,
5256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
5257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t5, $t3, $t2", 0xf973437b,
5258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
5259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t4, $t8", 0x00ff0001,
5260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xff01ffff, t2, t4, t8);
5261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t8, $t0", 0x7fff7fff,
5262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
5263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t6, $t1", 0x0000c420,
5264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
5265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t1, $t2", 0x00000000,
5266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t3, $t4", 0x80000000,
5268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
5269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t1, $t5", 0xaaaaaaaa,
5270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
5271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t6, $t7, $t3", 0x00000018,
5272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
5273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t5, $t3, $t2", 0xbabababa,
5274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
5275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t4, $t8", 0xf0f0f0f0,
5276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
5277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t8, $t0", 0xfbde3976,
5278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
5279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t6, $t1", 0x23534870,
5280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
5281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t1, $t2", 0x980b7cde,
5282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
5283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t3, $t4", 0x00000018,
5284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
5285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t1, $t5", 0x92784656,
5286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
5287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t6, $t7, $t3", 0xcacacaca,
5288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
5289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t5, $t3, $t2", 0xbacabaca,
5290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
5291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t4, $t8", 0x12fadeb4,
5292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
5293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t8, $t0", 0x7c000790,
5294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
5295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t6, $t1", 0xffffffff,
5296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
5297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t1, $t2", 0xf2f4df1f,
5298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
5299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t3, $t4", 0x435f909a,
5300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
5301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t1, $t5", 0x2106ba5f,
5302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
5303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t6, $t7, $t3", 0x246a6376,
5304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
5305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t5, $t3, $t2", 0x1046a1a3,
5306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
5307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t2, $t4, $t8", 0x638ca515,
5308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
5309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t0, $t8, $t0", 0xf63e7a9d,
5310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
5311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("packrl.ph $t4, $t6, $t1", 0xbd6845cd,
5312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
5313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PICK.QB --------\n");
5315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0xf973437b,
5316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807343bc, t5, t3, t2);
5317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0x00ff0001,
5318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00ff0001, t2, t4, t8);
5319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0x7fff7fff,
5320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t3, t8, t0);
5321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0x0000c420,
5322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t4, t6, t1);
5323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0xf973437b,
5324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807342bc, t2, t3, t4);
5325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0x00ff0001,
5326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xff0100ff, t4, t1, t5);
5327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0x7fff7fff,
5328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t6, t7, t3);
5329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0x0000c420,
5330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t0, t1, t2);
5331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0xf973437b,
5332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807342bc, t2, t3, t4);
5333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0x00ff0001,
5334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xff0100ff, t4, t1, t5);
5335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0x7fff7fff,
5336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t6, t7, t3);
5337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0x0000c420,
5338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t0, t1, t2);
5339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0x1046a1a3,
5340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t5, t3, t2);
5341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0x638ca515,
5342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t2, t4, t8);
5343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0xf63e7a9d,
5344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t3, t8, t0);
5345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0xbd6845cd,
5346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t4, t6, t1);
5347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0x1046a1a3,
5348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t2, t3, t4);
5349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0x638ca515,
5350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t4, t1, t5);
5351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0xf63e7a9d,
5352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t6, t7, t3);
5353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0xbd6845cd,
5354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t0, t1, t2);
5355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0x1046a1a3,
5356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t2, t3, t4);
5357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0x638ca515,
5358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t4, t1, t5);
5359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0xf63e7a9d,
5360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t6, t7, t3);
5361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0xbd6845cd,
5362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t0, t1, t2);
5363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0x92784656,
5364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t5, t3, t2);
5365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0xcacacaca,
5366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t2, t4, t8);
5367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0xbacabaca,
5368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t3, t8, t0);
5369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0x12fadeb4,
5370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t4, t6, t1);
5371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0x92784656,
5372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t2, t3, t4);
5373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0xcacacaca,
5374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t4, t1, t5);
5375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0xbacabaca,
5376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t6, t7, t3);
5377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0x12fadeb4,
5378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t0, t1, t2);
5379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0x92784656,
5380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t2, t3, t4);
5381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0xcacacaca,
5382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t4, t1, t5);
5383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0xbacabaca,
5384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t6, t7, t3);
5385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.qb $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0x12fadeb4,
5386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t0, t1, t2);
5387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQ.W.PHL --------\n");
5389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t1", 0x00000000, t0, t1);
5390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t3", 0x80003286, t2, t3);
5391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t1", 0xfabc2435, t4, t1);
5392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t6, $t7", 0x73468000, t6, t7);
5393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t5, $t3", 0x80000000, t5, t3);
5394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t4", 0xffffffff, t2, t4);
5395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t8", 0xfff45fff, t0, t8);
5396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t4", 0x00000555, t4, t4);
5397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t1", 0x00005340, t0, t1);
5398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t3", 0x80000000, t2, t3);
5399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t1", 0x55555555, t4, t1);
5400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t6, $t7", 0xffff2435, t6, t7);
5401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t5, $t3", 0xabababab, t5, t3);
5402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t4", 0xfc79b4d2, t2, t4);
5403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t8", 0x00000000, t0, t8);
5404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t4", 0x00354565, t4, t4);
5405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t1", 0x00086755, t0, t1);
5406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t3", 0x8f8f8f8f, t2, t3);
5407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t1", 0xeeeeeeee, t4, t1);
5408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t6, $t7", 0x1bdbdbdb, t6, t7);
5409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t5, $t3", 0xdecadeca, t5, t3);
5410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t4", 0x93474bde, t2, t4);
5411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t8", 0xfc0007ff, t0, t8);
5412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t4", 0xffffffff, t4, t4);
5413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t1", 0xcb4ab48f, t0, t1);
5414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t3", 0xaf8f7e18, t2, t3);
5415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t1", 0x87df4510, t4, t1);
5416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t6, $t7", 0xabf4e8e1, t6, t7);
5417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t5, $t3", 0xf4c0eeac, t5, t3);
5418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t2, $t4", 0x006a54f2, t2, t4);
5419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t0, $t8", 0x79f74493, t0, t8);
5420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phl $t4, $t4", 0x9c09e313, t4, t4);
5421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PICK.PH --------\n");
5423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0xf973437b,
5424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807343bc, t5, t3, t2);
5425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0x00ff0001,
5426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00ff0001, t2, t4, t8);
5427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0x7fff7fff,
5428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t3, t8, t0);
5429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0x0000c420,
5430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t4, t6, t1);
5431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0xf973437b,
5432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807342bc, t2, t3, t4);
5433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0x00ff0001,
5434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xff0100ff, t4, t1, t5);
5435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0x7fff7fff,
5436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t6, t7, t3);
5437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0x0000c420,
5438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t0, t1, t2);
5439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0xf973437b,
5440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x807342bc, t2, t3, t4);
5441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0x00ff0001,
5442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xff0100ff, t4, t1, t5);
5443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0x7fff7fff,
5444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x7fff7fff, t6, t7, t3);
5445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0x0000c420,
5446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x00000555, t0, t1, t2);
5447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0x1046a1a3,
5448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t5, t3, t2);
5449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0x638ca515,
5450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t2, t4, t8);
5451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0xf63e7a9d,
5452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t3, t8, t0);
5453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0xbd6845cd,
5454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t4, t6, t1);
5455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0x1046a1a3,
5456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t2, t3, t4);
5457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0x638ca515,
5458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t4, t1, t5);
5459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0xf63e7a9d,
5460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t6, t7, t3);
5461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0xbd6845cd,
5462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t0, t1, t2);
5463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0x1046a1a3,
5464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xf4c0eeac, t2, t3, t4);
5465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0x638ca515,
5466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x006a54f2, t4, t1, t5);
5467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0xf63e7a9d,
5468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x79f74493, t6, t7, t3);
5469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0xbd6845cd,
5470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x9c09e313, t0, t1, t2);
5471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t5, $t3, $t2", "cmp.eq.ph $t3, $t2", 0x92784656,
5472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t5, t3, t2);
5473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t4, $t8", "cmp.eq.ph $t4, $t8", 0xcacacaca,
5474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t2, t4, t8);
5475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t3, $t8, $t0", "cmp.eq.ph $t8, $t0", 0xbacabaca,
5476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t3, t8, t0);
5477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t6, $t1", "cmp.eq.ph $t6, $t1", 0x12fadeb4,
5478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t4, t6, t1);
5479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.lt.ph $t3, $t4", 0x92784656,
5480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t2, t3, t4);
5481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.lt.ph $t1, $t5", 0xcacacaca,
5482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t4, t1, t5);
5483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.lt.ph $t7, $t3", 0xbacabaca,
5484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t6, t7, t3);
5485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.lt.ph $t1, $t2", 0x12fadeb4,
5486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t0, t1, t2);
5487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t2, $t3, $t4", "cmp.le.ph $t3, $t4", 0x92784656,
5488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xeeeeeeee, t2, t3, t4);
5489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t4, $t1, $t5", "cmp.le.ph $t1, $t5", 0xcacacaca,
5490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x1bdbdbdb, t4, t1, t5);
5491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t6, $t7, $t3", "cmp.le.ph $t7, $t3", 0xbacabaca,
5492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0xdecadeca, t6, t7, t3);
5493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_PICK("pick.ph $t0, $t1, $t2", "cmp.le.ph $t1, $t2", 0x12fadeb4,
5494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                    0x93474bde, t0, t1, t2);
5495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQ.W.PHR --------\n");
5497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t1", 0x00000000, t0, t1);
5498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t3", 0x80003286, t2, t3);
5499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t1", 0xfabc2435, t4, t1);
5500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t6, $t7", 0x73468000, t6, t7);
5501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t5, $t3", 0x80000000, t5, t3);
5502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t4", 0xffffffff, t2, t4);
5503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t8", 0xfff45fff, t0, t8);
5504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t4", 0x00000555, t4, t4);
5505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t1", 0x00005340, t0, t1);
5506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t3", 0x80000000, t2, t3);
5507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t1", 0x55555555, t4, t1);
5508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t6, $t7", 0xffff2435, t6, t7);
5509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t5, $t3", 0xabababab, t5, t3);
5510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t4", 0xfc79b4d2, t2, t4);
5511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t8", 0x00000000, t0, t8);
5512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t4", 0x00354565, t4, t4);
5513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t1", 0x00086755, t0, t1);
5514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t3", 0x8f8f8f8f, t2, t3);
5515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t1", 0xeeeeeeee, t4, t1);
5516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t6, $t7", 0x1bdbdbdb, t6, t7);
5517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t5, $t3", 0xdecadeca, t5, t3);
5518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t4", 0x93474bde, t2, t4);
5519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t8", 0xfc0007ff, t0, t8);
5520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t4", 0xffffffff, t4, t4);
5521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t1", 0xcb4ab48f, t0, t1);
5522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t3", 0xaf8f7e18, t2, t3);
5523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t1", 0x87df4510, t4, t1);
5524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t6, $t7", 0xabf4e8e1, t6, t7);
5525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t5, $t3", 0xf4c0eeac, t5, t3);
5526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t2, $t4", 0x006a54f2, t2, t4);
5527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t0, $t8", 0x79f74493, t0, t8);
5528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceq.w.phr $t4, $t4", 0x9c09e313, t4, t4);
5529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQU.PH.QBL --------\n");
5531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t1", 0x00000000, t0, t1);
5532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t3", 0x80003286, t2, t3);
5533bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t1", 0xfabc2435, t4, t1);
5534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t6, $t7", 0x73468000, t6, t7);
5535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t5, $t3", 0x80000000, t5, t3);
5536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t4", 0xffffffff, t2, t4);
5537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t8", 0xfff45fff, t0, t8);
5538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t4", 0x00000555, t4, t4);
5539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t1", 0x00005340, t0, t1);
5540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t3", 0x80000000, t2, t3);
5541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t1", 0x55555555, t4, t1);
5542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t6, $t7", 0xffff2435, t6, t7);
5543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t5, $t3", 0xabababab, t5, t3);
5544bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t4", 0xfc79b4d2, t2, t4);
5545bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t8", 0x00000000, t0, t8);
5546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t4", 0x00354565, t4, t4);
5547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t1", 0x00086755, t0, t1);
5548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t3", 0x8f8f8f8f, t2, t3);
5549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t1", 0xeeeeeeee, t4, t1);
5550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t6, $t7", 0x1bdbdbdb, t6, t7);
5551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t5, $t3", 0xdecadeca, t5, t3);
5552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t4", 0x93474bde, t2, t4);
5553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t8", 0xfc0007ff, t0, t8);
5554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t4", 0xffffffff, t4, t4);
5555bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t1", 0xcb4ab48f, t0, t1);
5556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t3", 0xaf8f7e18, t2, t3);
5557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t1", 0x87df4510, t4, t1);
5558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t6, $t7", 0xabf4e8e1, t6, t7);
5559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t5, $t3", 0xf4c0eeac, t5, t3);
5560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t2, $t4", 0x006a54f2, t2, t4);
5561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t0, $t8", 0x79f74493, t0, t8);
5562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbl $t4, $t4", 0x9c09e313, t4, t4);
5563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQU.PH.QBLA --------\n");
5565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t1", 0x00000000, t0, t1);
5566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t3", 0x80003286, t2, t3);
5567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t1", 0xfabc2435, t4, t1);
5568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t6, $t7", 0x73468000, t6, t7);
5569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t5, $t3", 0x80000000, t5, t3);
5570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t4", 0xffffffff, t2, t4);
5571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t8", 0xfff45fff, t0, t8);
5572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t4", 0x00000555, t4, t4);
5573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t1", 0x00005340, t0, t1);
5574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t3", 0x80000000, t2, t3);
5575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t1", 0x55555555, t4, t1);
5576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t6, $t7", 0xffff2435, t6, t7);
5577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t5, $t3", 0xabababab, t5, t3);
5578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t4", 0xfc79b4d2, t2, t4);
5579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t8", 0x00000000, t0, t8);
5580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t4", 0x00354565, t4, t4);
5581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t1", 0x00086755, t0, t1);
5582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t3", 0x8f8f8f8f, t2, t3);
5583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t1", 0xeeeeeeee, t4, t1);
5584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t6, $t7", 0x1bdbdbdb, t6, t7);
5585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t5, $t3", 0xdecadeca, t5, t3);
5586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t4", 0x93474bde, t2, t4);
5587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t8", 0xfc0007ff, t0, t8);
5588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t4", 0xffffffff, t4, t4);
5589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t1", 0xcb4ab48f, t0, t1);
5590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t3", 0xaf8f7e18, t2, t3);
5591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t1", 0x87df4510, t4, t1);
5592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t6, $t7", 0xabf4e8e1, t6, t7);
5593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t5, $t3", 0xf4c0eeac, t5, t3);
5594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t2, $t4", 0x006a54f2, t2, t4);
5595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t0, $t8", 0x79f74493, t0, t8);
5596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbla $t4, $t4", 0x9c09e313, t4, t4);
5597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQU.PH.QBR --------\n");
5599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t1", 0x00000000, t0, t1);
5600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t3", 0x80003286, t2, t3);
5601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t1", 0xfabc2435, t4, t1);
5602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t6, $t7", 0x73468000, t6, t7);
5603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t5, $t3", 0x80000000, t5, t3);
5604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t4", 0xffffffff, t2, t4);
5605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t8", 0xfff45fff, t0, t8);
5606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t4", 0x00000555, t4, t4);
5607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t1", 0x00005340, t0, t1);
5608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t3", 0x80000000, t2, t3);
5609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t1", 0x55555555, t4, t1);
5610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t6, $t7", 0xffff2435, t6, t7);
5611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t5, $t3", 0xabababab, t5, t3);
5612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t4", 0xfc79b4d2, t2, t4);
5613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t8", 0x00000000, t0, t8);
5614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t4", 0x00354565, t4, t4);
5615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t1", 0x00086755, t0, t1);
5616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t3", 0x8f8f8f8f, t2, t3);
5617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t1", 0xeeeeeeee, t4, t1);
5618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t6, $t7", 0x1bdbdbdb, t6, t7);
5619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t5, $t3", 0xdecadeca, t5, t3);
5620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t4", 0x93474bde, t2, t4);
5621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t8", 0xfc0007ff, t0, t8);
5622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t4", 0xffffffff, t4, t4);
5623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t1", 0xcb4ab48f, t0, t1);
5624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t3", 0xaf8f7e18, t2, t3);
5625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t1", 0x87df4510, t4, t1);
5626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t6, $t7", 0xabf4e8e1, t6, t7);
5627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t5, $t3", 0xf4c0eeac, t5, t3);
5628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t2, $t4", 0x006a54f2, t2, t4);
5629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t0, $t8", 0x79f74493, t0, t8);
5630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbr $t4, $t4", 0x9c09e313, t4, t4);
5631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEQU.PH.QBRA --------\n");
5633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t1", 0x00000000, t0, t1);
5634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t3", 0x80003286, t2, t3);
5635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t1", 0xfabc2435, t4, t1);
5636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t6, $t7", 0x73468000, t6, t7);
5637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t5, $t3", 0x80000000, t5, t3);
5638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t4", 0xffffffff, t2, t4);
5639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t8", 0xfff45fff, t0, t8);
5640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t4", 0x00000555, t4, t4);
5641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t1", 0x00005340, t0, t1);
5642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t3", 0x80000000, t2, t3);
5643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t1", 0x55555555, t4, t1);
5644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t6, $t7", 0xffff2435, t6, t7);
5645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t5, $t3", 0xabababab, t5, t3);
5646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t4", 0xfc79b4d2, t2, t4);
5647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t8", 0x00000000, t0, t8);
5648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t4", 0x00354565, t4, t4);
5649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t1", 0x00086755, t0, t1);
5650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t3", 0x8f8f8f8f, t2, t3);
5651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t1", 0xeeeeeeee, t4, t1);
5652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t6, $t7", 0x1bdbdbdb, t6, t7);
5653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t5, $t3", 0xdecadeca, t5, t3);
5654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t4", 0x93474bde, t2, t4);
5655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t8", 0xfc0007ff, t0, t8);
5656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t4", 0xffffffff, t4, t4);
5657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t1", 0xcb4ab48f, t0, t1);
5658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t3", 0xaf8f7e18, t2, t3);
5659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t1", 0x87df4510, t4, t1);
5660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t6, $t7", 0xabf4e8e1, t6, t7);
5661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t5, $t3", 0xf4c0eeac, t5, t3);
5662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t2, $t4", 0x006a54f2, t2, t4);
5663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t0, $t8", 0x79f74493, t0, t8);
5664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("precequ.ph.qbra $t4, $t4", 0x9c09e313, t4, t4);
5665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEU.PH.QBL --------\n");
5667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t1", 0x00000000, t0, t1);
5668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t3", 0x80003286, t2, t3);
5669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t1", 0xfabc2435, t4, t1);
5670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t6, $t7", 0x73468000, t6, t7);
5671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t5, $t3", 0x80000000, t5, t3);
5672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t4", 0xffffffff, t2, t4);
5673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t8", 0xfff45fff, t0, t8);
5674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t4", 0x00000555, t4, t4);
5675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t1", 0x00005340, t0, t1);
5676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t3", 0x80000000, t2, t3);
5677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t1", 0x55555555, t4, t1);
5678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t6, $t7", 0xffff2435, t6, t7);
5679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t5, $t3", 0xabababab, t5, t3);
5680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t4", 0xfc79b4d2, t2, t4);
5681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t8", 0x00000000, t0, t8);
5682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t4", 0x00354565, t4, t4);
5683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t1", 0x00086755, t0, t1);
5684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t3", 0x8f8f8f8f, t2, t3);
5685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t1", 0xeeeeeeee, t4, t1);
5686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t6, $t7", 0x1bdbdbdb, t6, t7);
5687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t5, $t3", 0xdecadeca, t5, t3);
5688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t4", 0x93474bde, t2, t4);
5689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t8", 0xfc0007ff, t0, t8);
5690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t4", 0xffffffff, t4, t4);
5691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t1", 0xcb4ab48f, t0, t1);
5692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t3", 0xaf8f7e18, t2, t3);
5693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t1", 0x87df4510, t4, t1);
5694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t6, $t7", 0xabf4e8e1, t6, t7);
5695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t5, $t3", 0xf4c0eeac, t5, t3);
5696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t2, $t4", 0x006a54f2, t2, t4);
5697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t0, $t8", 0x79f74493, t0, t8);
5698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbl $t4, $t4", 0x9c09e313, t4, t4);
5699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEU.PH.QBLA --------\n");
5701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t1", 0x00000000, t0, t1);
5702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t3", 0x80003286, t2, t3);
5703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t1", 0xfabc2435, t4, t1);
5704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t6, $t7", 0x73468000, t6, t7);
5705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t5, $t3", 0x80000000, t5, t3);
5706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t4", 0xffffffff, t2, t4);
5707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t8", 0xfff45fff, t0, t8);
5708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t4", 0x00000555, t4, t4);
5709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t1", 0x00005340, t0, t1);
5710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t3", 0x80000000, t2, t3);
5711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t1", 0x55555555, t4, t1);
5712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t6, $t7", 0xffff2435, t6, t7);
5713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t5, $t3", 0xabababab, t5, t3);
5714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t4", 0xfc79b4d2, t2, t4);
5715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t8", 0x00000000, t0, t8);
5716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t4", 0x00354565, t4, t4);
5717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t1", 0x00086755, t0, t1);
5718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t3", 0x8f8f8f8f, t2, t3);
5719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t1", 0xeeeeeeee, t4, t1);
5720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t6, $t7", 0x1bdbdbdb, t6, t7);
5721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t5, $t3", 0xdecadeca, t5, t3);
5722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t4", 0x93474bde, t2, t4);
5723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t8", 0xfc0007ff, t0, t8);
5724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t4", 0xffffffff, t4, t4);
5725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t1", 0xcb4ab48f, t0, t1);
5726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t3", 0xaf8f7e18, t2, t3);
5727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t1", 0x87df4510, t4, t1);
5728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t6, $t7", 0xabf4e8e1, t6, t7);
5729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t5, $t3", 0xf4c0eeac, t5, t3);
5730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t2, $t4", 0x006a54f2, t2, t4);
5731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t0, $t8", 0x79f74493, t0, t8);
5732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbla $t4, $t4", 0x9c09e313, t4, t4);
5733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEU.PH.QBR --------\n");
5735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t1", 0x00000000, t0, t1);
5736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t3", 0x80003286, t2, t3);
5737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t1", 0xfabc2435, t4, t1);
5738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t6, $t7", 0x73468000, t6, t7);
5739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t5, $t3", 0x80000000, t5, t3);
5740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t4", 0xffffffff, t2, t4);
5741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t8", 0xfff45fff, t0, t8);
5742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t4", 0x00000555, t4, t4);
5743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t1", 0x00005340, t0, t1);
5744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t3", 0x80000000, t2, t3);
5745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t1", 0x55555555, t4, t1);
5746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t6, $t7", 0xffff2435, t6, t7);
5747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t5, $t3", 0xabababab, t5, t3);
5748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t4", 0xfc79b4d2, t2, t4);
5749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t8", 0x00000000, t0, t8);
5750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t4", 0x00354565, t4, t4);
5751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t1", 0x00086755, t0, t1);
5752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t3", 0x8f8f8f8f, t2, t3);
5753bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t1", 0xeeeeeeee, t4, t1);
5754bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t6, $t7", 0x1bdbdbdb, t6, t7);
5755bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t5, $t3", 0xdecadeca, t5, t3);
5756bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t4", 0x93474bde, t2, t4);
5757bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t8", 0xfc0007ff, t0, t8);
5758bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t4", 0xffffffff, t4, t4);
5759bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t1", 0xcb4ab48f, t0, t1);
5760bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t3", 0xaf8f7e18, t2, t3);
5761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t1", 0x87df4510, t4, t1);
5762bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t6, $t7", 0xabf4e8e1, t6, t7);
5763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t5, $t3", 0xf4c0eeac, t5, t3);
5764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t2, $t4", 0x006a54f2, t2, t4);
5765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t0, $t8", 0x79f74493, t0, t8);
5766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbr $t4, $t4", 0x9c09e313, t4, t4);
5767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECEU.PH.QBRA --------\n");
5769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t1", 0x00000000, t0, t1);
5770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t3", 0x80003286, t2, t3);
5771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t1", 0xfabc2435, t4, t1);
5772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t6, $t7", 0x73468000, t6, t7);
5773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t5, $t3", 0x80000000, t5, t3);
5774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t4", 0xffffffff, t2, t4);
5775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t8", 0xfff45fff, t0, t8);
5776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t4", 0x00000555, t4, t4);
5777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t1", 0x00005340, t0, t1);
5778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t3", 0x80000000, t2, t3);
5779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t1", 0x55555555, t4, t1);
5780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t6, $t7", 0xffff2435, t6, t7);
5781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t5, $t3", 0xabababab, t5, t3);
5782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t4", 0xfc79b4d2, t2, t4);
5783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t8", 0x00000000, t0, t8);
5784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t4", 0x00354565, t4, t4);
5785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t1", 0x00086755, t0, t1);
5786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t3", 0x8f8f8f8f, t2, t3);
5787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t1", 0xeeeeeeee, t4, t1);
5788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t6, $t7", 0x1bdbdbdb, t6, t7);
5789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t5, $t3", 0xdecadeca, t5, t3);
5790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t4", 0x93474bde, t2, t4);
5791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t8", 0xfc0007ff, t0, t8);
5792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t4", 0xffffffff, t4, t4);
5793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t1", 0xcb4ab48f, t0, t1);
5794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t3", 0xaf8f7e18, t2, t3);
5795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t1", 0x87df4510, t4, t1);
5796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t6, $t7", 0xabf4e8e1, t6, t7);
5797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t5, $t3", 0xf4c0eeac, t5, t3);
5798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t2, $t4", 0x006a54f2, t2, t4);
5799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t0, $t8", 0x79f74493, t0, t8);
5800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("preceu.ph.qbra $t4, $t4", 0x9c09e313, t4, t4);
5801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECRQ.QB.PH --------\n");
5803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t1, $t2", 0x00000000,
5804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t3, $t4", 0x045fb232,
5806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00028632, t2, t3, t4);
5807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t1, $t5", 0xfabc3435,
5808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfabc3421, t4, t1, t5);
5809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t6, $t7, $t3", 0x07654cb8,
5810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
5811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t5, $t3, $t2", 0xf973437b,
5812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
5813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t4, $t8", 0x00ff0001,
5814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xff01ffff, t2, t4, t8);
5815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t8, $t0", 0x7fff7fff,
5816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
5817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t6, $t1", 0x0000c420,
5818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
5819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t1, $t2", 0x00000000,
5820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t3, $t4", 0x80000000,
5822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
5823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t1, $t5", 0xaaaaaaaa,
5824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
5825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t6, $t7, $t3", 0x00000018,
5826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
5827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t5, $t3, $t2", 0xbabababa,
5828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
5829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t4, $t8", 0xf0f0f0f0,
5830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
5831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t8, $t0", 0xfbde3976,
5832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
5833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t6, $t1", 0x23534870,
5834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
5835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t1, $t2", 0x980b7cde,
5836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
5837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t3, $t4", 0x00000018,
5838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
5839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t1, $t5", 0x92784656,
5840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
5841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t6, $t7, $t3", 0xcacacaca,
5842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
5843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t5, $t3, $t2", 0xbacabaca,
5844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
5845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t4, $t8", 0x12fadeb4,
5846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
5847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t8, $t0", 0x7c000790,
5848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
5849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t6, $t1", 0xffffffff,
5850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
5851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t1, $t2", 0xf2f4df1f,
5852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
5853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t3, $t4", 0x435f909a,
5854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
5855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t1, $t5", 0x2106ba5f,
5856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
5857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t6, $t7, $t3", 0x246a6376,
5858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
5859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t5, $t3, $t2", 0x1046a1a3,
5860bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
5861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t2, $t4, $t8", 0x638ca515,
5862bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
5863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t0, $t8, $t0", 0xf63e7a9d,
5864bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
5865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.qb.ph $t4, $t6, $t1", 0xbd6845cd,
5866bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
5867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5868bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECRQ.PH.W --------\n");
5869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t1, $t2", 0x00000000,
5870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t3, $t4", 0x045fb232,
5872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00028632, t2, t3, t4);
5873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t1, $t5", 0xfabc3435,
5874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfabc3421, t4, t1, t5);
5875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t6, $t7, $t3", 0x07654cb8,
5876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
5877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t5, $t3, $t2", 0xf973437b,
5878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
5879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t4, $t8", 0x00ff0001,
5880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xff01ffff, t2, t4, t8);
5881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t8, $t0", 0x7fff7fff,
5882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
5883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t6, $t1", 0x0000c420,
5884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
5885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t1, $t2", 0x00000000,
5886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
5887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t3, $t4", 0x80000000,
5888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
5889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t1, $t5", 0xaaaaaaaa,
5890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
5891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t6, $t7, $t3", 0x00000018,
5892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
5893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t5, $t3, $t2", 0xbabababa,
5894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
5895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t4, $t8", 0xf0f0f0f0,
5896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
5897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t8, $t0", 0xfbde3976,
5898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
5899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t6, $t1", 0x23534870,
5900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
5901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t1, $t2", 0x980b7cde,
5902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
5903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t3, $t4", 0x00000018,
5904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
5905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t1, $t5", 0x92784656,
5906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
5907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t6, $t7, $t3", 0xcacacaca,
5908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
5909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t5, $t3, $t2", 0xbacabaca,
5910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
5911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t4, $t8", 0x12fadeb4,
5912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
5913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t8, $t0", 0x7c000790,
5914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
5915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t6, $t1", 0xffffffff,
5916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
5917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t1, $t2", 0xf2f4df1f,
5918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
5919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t3, $t4", 0x435f909a,
5920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
5921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t1, $t5", 0x2106ba5f,
5922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
5923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t6, $t7, $t3", 0x246a6376,
5924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
5925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t5, $t3, $t2", 0x1046a1a3,
5926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
5927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t2, $t4, $t8", 0x638ca515,
5928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
5929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t0, $t8, $t0", 0xf63e7a9d,
5930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
5931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("precrq.ph.w $t4, $t6, $t1", 0xbd6845cd,
5932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
5933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
5934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECRQ_RS.PH.W --------\n");
5935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t1, $t2", 0x00000000,
5936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
5937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t3, $t4", 0x045fb232,
5938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00028632, t2, t3, t4);
5939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t1, $t5", 0xfabc3435,
5940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfabc3421, t4, t1, t5);
5941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t6, $t7, $t3", 0x07654cb8,
5942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
5943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t5, $t3, $t2", 0xf973437b,
5944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
5945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t4, $t8", 0x00ff0001,
5946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xff01ffff, t2, t4, t8);
5947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t8, $t0", 0x7fffd004,
5948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
5949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t8, $t0", 0x7fffd004,
5950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
5951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t6, $t1", 0x0000c420,
5952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
5953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t1, $t2", 0x7fff8000,
5954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff8000, t0, t1, t2);
5955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t3, $t4", 0x80000000,
5956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
5957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t1, $t5", 0x7fffaaaa,
5958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, t4, t1, t5);
5959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t6, $t7, $t3", 0x00000018,
5960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
5961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t5, $t3, $t2", 0xbabababa,
5962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
5963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t4, $t8", 0xf0f0f0f0,
5964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
5965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t8, $t0", 0xfbde3976,
5966bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
5967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t6, $t1", 0x23534870,
5968bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
5969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t1, $t2", 0x980b7cde,
5970bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
5971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t3, $t4", 0x00000018,
5972bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
5973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t1, $t5", 0x92784656,
5974bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
5975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t6, $t7, $t3", 0xcacacaca,
5976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
5977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t5, $t3, $t2", 0xbacabaca,
5978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
5979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t4, $t8", 0x12fadeb4,
5980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
5981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t8, $t0", 0x7fffffff,
5982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
5983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t6, $t1", 0xffffffff,
5984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
5985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t1, $t2", 0xf2f4df1f,
5986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
5987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t3, $t4", 0x435f909a,
5988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
5989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t1, $t5", 0x2106ba5f,
5990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
5991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t6, $t7, $t3", 0x246a6376,
5992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
5993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t5, $t3, $t2", 0x1046a1a3,
5994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
5995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t2, $t4, $t8", 0x638ca515,
5996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
5997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t0, $t8, $t0", 0xf63e7a9d,
5998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
5999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrq_rs.ph.w $t4, $t6, $t1", 0xbd6845cd,
6000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
6001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- PRECRQU_S.QB.PH --------\n");
6003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t1, $t2", 0x00000000,
6004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
6005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t3, $t4", 0x045fb232,
6006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00028632, t2, t3, t4);
6007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t1, $t5", 0xfabc3435,
6008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfabc3421, t4, t1, t5);
6009bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t6, $t7, $t3", 0x07654cb8,
6010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x734680bc, t6, t7, t3);
6011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t5, $t3, $t2", 0xf973437b,
6012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t5, t3, t2);
6013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t4, $t8", 0x00ff0001,
6014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xff01ffff, t2, t4, t8);
6015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t8, $t0", 0x7fff7004,
6016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x7fff7fff, t0, t8, t0);
6017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t6, $t1", 0x0000c420,
6018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000555, t4, t6, t1);
6019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t1, $t2", 0x00000000,
6020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t1, t2);
6021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t3, $t4", 0x80000000,
6022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x80000000, t2, t3, t4);
6023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t1, $t5", 0xaaaaaaaa,
6024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x55555555, t4, t1, t5);
6025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t6, $t7, $t3", 0x00000018,
6026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffff2435, t6, t7, t3);
6027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t5, $t3, $t2", 0xbabababa,
6028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabababab, t5, t3, t2);
6029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t4, $t8", 0xf0f0f0f0,
6030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc79b4d2, t2, t4, t8);
6031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t8, $t0", 0xfbde3976,
6032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00000000, t0, t8, t0);
6033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t6, $t1", 0x23534870,
6034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00354565, t4, t6, t1);
6035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t1, $t2", 0x980b7cde,
6036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x00086755, t0, t1, t2);
6037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t3, $t4", 0x00000018,
6038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x8f8f8f8f, t2, t3, t4);
6039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t1, $t5", 0x92784656,
6040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xeeeeeeee, t4, t1, t5);
6041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t6, $t7, $t3", 0xcacacaca,
6042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x1bdbdbdb, t6, t7, t3);
6043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t5, $t3, $t2", 0xbacabaca,
6044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xdecadeca, t5, t3, t2);
6045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t4, $t8", 0x12fadeb4,
6046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x93474bde, t2, t4, t8);
6047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t8, $t0", 0x7c000790,
6048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xfc0007ff, t0, t8, t0);
6049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t6, $t1", 0xffffffff,
6050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xffffffff, t4, t6, t1);
6051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t1, $t2", 0xf2f4df1f,
6052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xcb4ab48f, t0, t1, t2);
6053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t3, $t4", 0x435f909a,
6054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xaf8f7e18, t2, t3, t4);
6055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t1, $t5", 0x2106ba5f,
6056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x87df4510, t4, t1, t5);
6057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t6, $t7, $t3", 0x246a6376,
6058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xabf4e8e1, t6, t7, t3);
6059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t5, $t3, $t2", 0x1046a1a3,
6060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0xf4c0eeac, t5, t3, t2);
6061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t2, $t4, $t8", 0x638ca515,
6062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x006a54f2, t2, t4, t8);
6063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t0, $t8, $t0", 0xf63e7a9d,
6064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x79f74493, t0, t8, t0);
6065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("precrqu_s.qb.ph $t4, $t6, $t1", 0xbd6845cd,
6066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             0x9c09e313, t4, t6, t1);
6067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6068bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- RADDU.W.QB --------\n");
6069bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t2", 0x55555555, t3, t2);
6070bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t1", 0xffff2435, t4, t1);
6071bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t7, $t2", 0x55555555, t7, t2);
6072bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t5, $t1", 0xffff2435, t5, t1);
6073bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t2, $t2", 0x55435755, t2, t2);
6074bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t1", 0xffff2435, t3, t1);
6075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t1, $t2", 0x0fde3126, t1, t2);
6076bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t2, $t0", 0xabababab, t2, t0);
6077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t7, $t3", 0x00000001, t7, t3);
6078bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t5, $t6", 1073741824, t5, t6);
6079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t2, $t4", 0x80000000, t2, t4);
6080bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t6, $t1", 0x7fffffff, t6, t1);
6081bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t8, $t5", 0x23534870, t8, t5);
6082bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t9, $t7", 0xffffffff, t9, t7);
6083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t8", 0xfc79b4d2, t3, t8);
6084bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t4", 0x00000000, t4, t4);
6085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t5, $t5", 0x00000000, t5, t5);
6086bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t6, $t6", 0x12349876, t6, t6);
6087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t7, $t7", 0x00354565, t7, t7);
6088bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t8, $t8", 0x00086755, t8, t8);
6089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t9, $t0", 0x8f8f8f8f, t9, t0);
6090bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t1, $t1", 0xeeeeeeee, t1, t1);
6091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t1, $t2", 0x1bdbdbdb, t1, t2);
6092bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t3", 0xdecadeca, t4, t3);
6093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t8, $t4", 0x5fc92974, t8, t4);
6094bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t0, $t5", 0x7e08184e, t0, t5);
6095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t1, $t6", 0x71c8315f, t1, t6);
6096bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t2, $t7", 0x9493110e, t2, t7);
6097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t8", 0xbb246228, t3, t8);
6098bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t0", 0x339d8d88, t4, t0);
6099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t5, $t1", 0x70974249, t5, t1);
6100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t6, $t2", 0x8a8d4e7d, t6, t2);
6101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t7, $t1", 0xeb1b4335, t7, t1);
6102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t8, $t2", 0x0cd6b508, t8, t2);
6103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t0, $t1", 0x6731e282, t0, t1);
6104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t1, $t2", 0xb6edf28f, t1, t2);
6105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t2, $t3", 0x4b4ec9ca, t2, t3);
6106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t4", 0xc1037fa4, t3, t4);
6107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t3, $t5", 0xcb4ab48f, t3, t5);
6108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t6", 0xaf8f7e18, t4, t6);
6109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RADDU_W_QB("raddu.w.qb $t4, $t6", 0xaf8f7e18, t4, t6);
6110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- RDDSP/WRDSP --------\n");
6112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x35055512, 0x0000001f);
6113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x00000000, 0x00000002);
6114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x80003286, 0x00000004);
6115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xfabc2435, 0x00000008);
6116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x73468000, 0x00000016);
6117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x80000000, 0x00000020);
6118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xffffffff, 0x00000022);
6119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xfff45fff, 0x0000003f);
6120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x00000555, 0x00000013);
6121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x23534870, 0x00000014);
6122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x0555adec, 0x00000010);
6123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x980b7cde, 0x00000015);
6124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xf973437b, 0x00000011);
6125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x93474bde, 0x00000007);
6126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x55555555, 0x00000009);
6127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xc4dbfe20, 0x00000006);
6128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x734680bc, 0x00000000);
6129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x00354565, 0x00000003);
6130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xbacabaca, 0x00000021);
6131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xdecadeca, 0x00000016);
6132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0x00000286, 0x00000001);
6133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RDDSPWRDSP(0xabababab, 0x00000026);
6134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- REPL.PH --------\n");
6136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t0, 0", 0, t0);
6137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t1, 1", 1, t1);
6138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t2, -1", -1, t2);
6139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t3, -129", -129, t3);
6140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t4, -2", -2, t4);
6141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t0, 0x123", 0x123, t0);
6142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t1, 0x07b", 0x07b, t1);
6143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t2, 0x1c8", 0x1c8, t2);
6144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t3, 0x080", 0x080, t3);
6145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t4, 0x07f", 0x07f, t4);
6146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t5, 0x1ff", 0x1ff, t5);
6147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t6, 0x000", 0x000, t6);
6148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t7, 0x177", 0x177, t7);
6149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t0, 0x1de", 0x1de, t0);
6150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t1, 0x018", 0x018, t1);
6151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t2, 0x056", 0x056, t2);
6152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t3, 0x1ca", 0x1ca, t3);
6153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t4, 0x1ab", 0x1ab, t4);
6154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t5, 0x1d2", 0x1d2, t5);
6155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t6, 0x000", 0x000, t6);
6156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t7, 0x065", 0x065, t7);
6157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t0, 0x055", 0x055, t0);
6158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t1, 0x08f", 0x08f, t1);
6159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t2, 0x0ee", 0x0ee, t2);
6160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t3, 0x1db", 0x1db, t3);
6161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t4, 0x1ca", 0x1ca, t4);
6162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t5, 0x1de", 0x1de, t5);
6163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t6, 0x0ff", 0x0ff, t6);
6164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t7, 0x0ff", 0x0ff, t7);
6165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t0, 0x08f", 0x08f, t0);
6166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t1, 0x118", 0x118, t1);
6167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t2, 0x110", 0x110, t2);
6168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t3, 0x1e1", 0x1e1, t3);
6169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t4, 0x1ac", 0x1ac, t4);
6170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t5, 0x0f2", 0x0f2, t5);
6171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t6, 0x093", 0x093, t6);
6172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.ph $t7, 0x013", 0x013, t7);
6173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- REPL.QB --------\n");
6175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t0, 0x23", 0x23, t0);
6176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t1, 0x7b", 0x7b, t1);
6177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t2, 0xc8", 0xc8, t2);
6178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t3, 0x80", 0x80, t3);
6179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t4, 0x7f", 0x7f, t4);
6180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t5, 0xff", 0xff, t5);
6181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t6, 0x00", 0x00, t6);
6182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t7, 0x77", 0x77, t7);
6183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t0, 0xde", 0xde, t0);
6184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t1, 0x18", 0x18, t1);
6185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t2, 0x56", 0x56, t2);
6186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t3, 0xca", 0xca, t3);
6187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t4, 0xab", 0xab, t4);
6188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t5, 0xd2", 0xd2, t5);
6189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t6, 0x00", 0x00, t6);
6190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t7, 0x65", 0x65, t7);
6191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t0, 0x55", 0x55, t0);
6192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t1, 0x8f", 0x8f, t1);
6193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t2, 0xee", 0xee, t2);
6194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t3, 0xdb", 0xdb, t3);
6195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t4, 0xca", 0xca, t4);
6196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t5, 0xde", 0xde, t5);
6197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t6, 0xff", 0xff, t6);
6198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t7, 0xff", 0xff, t7);
6199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t0, 0x8f", 0x8f, t0);
6200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t1, 0x18", 0x18, t1);
6201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t2, 0x10", 0x10, t2);
6202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t3, 0xe1", 0xe1, t3);
6203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t4, 0xac", 0xac, t4);
6204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t5, 0xf2", 0xf2, t5);
6205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t6, 0x93", 0x93, t6);
6206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_IMM_NODSPC("repl.qb $t7, 0x13", 0x13, t7);
6207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- REPLV.PH --------\n");
6209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t1", 0x00000000, t0, t1);
6210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t3", 0x80003286, t2, t3);
6211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t1", 0xfabc2435, t4, t1);
6212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t6, $t7", 0x73468000, t6, t7);
6213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t5, $t3", 0x80000000, t5, t3);
6214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t4", 0xffffffff, t2, t4);
6215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t8", 0xfff45fff, t0, t8);
6216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t4", 0x00000555, t4, t4);
6217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t1", 0x00005340, t0, t1);
6218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t3", 0x80000000, t2, t3);
6219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t1", 0x55555555, t4, t1);
6220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t6, $t7", 0xffff2435, t6, t7);
6221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t5, $t3", 0xabababab, t5, t3);
6222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t4", 0xfc79b4d2, t2, t4);
6223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t8", 0x00000000, t0, t8);
6224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t4", 0x00354565, t4, t4);
6225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t1", 0x00086755, t0, t1);
6226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t3", 0x8f8f8f8f, t2, t3);
6227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t1", 0xeeeeeeee, t4, t1);
6228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t6, $t7", 0x1bdbdbdb, t6, t7);
6229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t5, $t3", 0xdecadeca, t5, t3);
6230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t4", 0x93474bde, t2, t4);
6231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t8", 0xfc0007ff, t0, t8);
6232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t4", 0xffffffff, t4, t4);
6233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t1", 0xcb4ab48f, t0, t1);
6234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t3", 0xaf8f7e18, t2, t3);
6235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t1", 0x87df4510, t4, t1);
6236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t6, $t7", 0xabf4e8e1, t6, t7);
6237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t5, $t3", 0xf4c0eeac, t5, t3);
6238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t2, $t4", 0x006a54f2, t2, t4);
6239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t0, $t8", 0x79f74493, t0, t8);
6240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.ph $t4, $t4", 0x9c09e313, t4, t4);
6241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- REPLV.QB --------\n");
6243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t1", 0x00000000, t0, t1);
6244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t3", 0x80003286, t2, t3);
6245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t1", 0xfabc2435, t4, t1);
6246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t6, $t7", 0x73468000, t6, t7);
6247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t5, $t3", 0x80000000, t5, t3);
6248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t4", 0xffffffff, t2, t4);
6249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t8", 0xfff45fff, t0, t8);
6250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t4", 0x00000555, t4, t4);
6251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t1", 0x00005340, t0, t1);
6252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t3", 0x80000000, t2, t3);
6253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t1", 0x55555555, t4, t1);
6254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t6, $t7", 0xffff2435, t6, t7);
6255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t5, $t3", 0xabababab, t5, t3);
6256bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t4", 0xfc79b4d2, t2, t4);
6257bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t8", 0x00000000, t0, t8);
6258bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t4", 0x00354565, t4, t4);
6259bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t1", 0x00086755, t0, t1);
6260bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t3", 0x8f8f8f8f, t2, t3);
6261bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t1", 0xeeeeeeee, t4, t1);
6262bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t6, $t7", 0x1bdbdbdb, t6, t7);
6263bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t5, $t3", 0xdecadeca, t5, t3);
6264bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t4", 0x93474bde, t2, t4);
6265bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t8", 0xfc0007ff, t0, t8);
6266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t4", 0xffffffff, t4, t4);
6267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t1", 0xcb4ab48f, t0, t1);
6268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t3", 0xaf8f7e18, t2, t3);
6269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t1", 0x87df4510, t4, t1);
6270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t6, $t7", 0xabf4e8e1, t6, t7);
6271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t5, $t3", 0xf4c0eeac, t5, t3);
6272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t2, $t4", 0x006a54f2, t2, t4);
6273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t0, $t8", 0x79f74493, t0, t8);
6274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_NODSPC("replv.qb $t4, $t4", 0x9c09e313, t4, t4);
6275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHILO --------\n");
6277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0x980b7cde, 0x0243ade5, -5);
6278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0x80003286, 0x00002340, 12);
6279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0x23534870, 0x0bca3470,  7);
6280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0x0555adec, 0x1245bef6,  3);
6281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0x980b7cde, 0x0243ade5, -5);
6282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0xf97343ff, 0x0bce2434, -13);
6283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0x93474bde, 0x0bcde433, 31);
6284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0x7f003245, 0x000432fe, -32);
6285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0xad80bce4, 0x0241bce0,  8);
6286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0x55555555, 0xbcdea87a, 20);
6287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0x00000000, 0x00000007, 22);
6288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0xc4dbfe20, 0x000023b6, -19);
6289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0x734680bc, 0x000deab5, 16);
6290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0x3545ff80, 0x00000004, -2);
6291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0xbacabaca, 0x00000003, -4);
6292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0xdecadeca, 0x00000002, -18);
6293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0xabababab, 0x00000001,  0);
6294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0xffffffff, 0x00000000,  1);
6295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0x7fff7fff, 0x0bce3457, 30);
6296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0x00010001, 0x00ca6ced, -30);
6297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0x00000080, 0x5bc34109, -24);
6298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac0", 0xff460000, 0x4bacd342,  5);
6299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac1", 0x2fff0000, 0x03bcde24,  9);
6300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac2", 0x2fff0000, 0x02234379, 16);
6301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_SHILO("ac3", 0x2fff0000, 0x01098789, -12);
6302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHILOV --------\n");
6304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0x980b7cde, 0x0243ade5, 0x01098789, t5);
6305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0x80003286, 0x00002340, 0x0241bce0, t1);
6306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0x23534870, 0x0bca3470, 0xc4dbfe20, t1);
6307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0x0555adec, 0x1245bef6, 0x93474bde, t3);
6308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0x980b7cde, 0x0243ade5, 0x7f003245, t7);
6309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0xf97343ff, 0x0bce2434, 0x0241bce0, t3);
6310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0x93474bde, 0x0bcde433, 0x0bce3457, t4);
6311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0x7f003245, 0x000432fe, 0xbacabaca, t8);
6312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0xad80bce4, 0x0241bce0, 0x734680bc, t1);
6313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0x55555555, 0xbcdea87a, 0x3545ff80, t3);
6314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0x00000000, 0x00000007, 0x7fff7fff, t1);
6315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0xc4dbfe20, 0x000023b6, 0xc4dbfe20, t7);
6316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0x734680bc, 0x000deab5,         16, t3);
6317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0x3545ff80, 0x00000004,         -2, t4);
6318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0xbacabaca, 0x00000003,         -4, t8);
6319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0xdecadeca, 0x00000002,        -18, t5);
6320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0xabababab, 0x00000001,          0, t1);
6321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0xffffffff, 0x00000000,          1, t3);
6322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0x7fff7fff, 0x0bce3457,         30, t1);
6323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0x00010001, 0x00ca6ced,        -30, t7);
6324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0x00000080, 0x5bc34109,        -24, t3);
6325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac0", 0xff460000, 0x4bacd342,        -32, t4);
6326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac1", 0x2fff0000, 0x03bcde24,         31, t8);
6327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac2", 0x2fff0000, 0x02234379,         16, t5);
6328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSP_SHILOV("ac3", 0x2fff0000, 0x01098789,        -12, t5);
6329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLL.PH --------\n");
6331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t1,  0", 0x00000000,  0, t0, t1);
6332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t3,  1", 0x2fff0000,  1, t2, t3);
6333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t1,  2", 0x2fff0000,  2, t4, t1);
6334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t6, $t7,  3", 0x2fff0000,  3, t6, t7);
6335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t5, $t3,  4", 0x80000000,  4, t5, t3);
6336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t4,  5", 0xff01ffff,  5, t2, t4);
6337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t8,  6", 0x7fff7fff,  6, t0, t8);
6338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t6,  7", 0x00000555,  7, t4, t6);
6339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t1,  8", 0x00000000,  8, t0, t1);
6340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t3,  9", 0x80000000,  9, t2, t3);
6341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t1, 10", 0x55555555, 10, t4, t1);
6342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t6, $t7, 11", 0xffff2435, 11, t6, t7);
6343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t5, $t3, 12", 0xabababab, 12, t5, t3);
6344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t4, 13", 0xfc79b4d2, 13, t2, t4);
6345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t8, 14", 0x00000000, 14, t0, t8);
6346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t6, 15", 0x00354565, 15, t4, t6);
6347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t1,  0", 0x00086755,  0, t0, t1);
6348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t3,  1", 0x8f8f8f8f,  1, t2, t3);
6349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t1,  2", 0xeeeeeeee,  2, t4, t1);
6350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t6, $t7,  3", 0x1bdbdbdb,  3, t6, t7);
6351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t5, $t3,  4", 0xdecadeca,  4, t5, t3);
6352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t4,  5", 0x93474bde,  5, t2, t4);
6353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t8,  6", 0xfc0007ff,  6, t0, t8);
6354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t6,  7", 0xffffffff,  7, t4, t6);
6355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t1,  8", 0xcb4ab48f,  8, t0, t1);
6356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t3,  9", 0xaf8f7e18,  9, t2, t3);
6357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t1, 10", 0x87df4510, 10, t4, t1);
6358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t6, $t7, 11", 0xabf4e8e1, 11, t6, t7);
6359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t5, $t3, 12", 0xf4c0eeac, 12, t5, t3);
6360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t2, $t4, 13", 0x006a54f2, 13, t2, t4);
6361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t0, $t8, 14", 0x79f74493, 14, t0, t8);
6362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.ph $t4, $t6, 15", 0x9c09e313, 15, t4, t6);
6363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLL_S.PH --------\n");
6365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t1,  0", 0x00000000,  0, t0, t1);
6366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t3,  1", 0x2fff0000,  1, t2, t3);
6367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t1,  2", 0x2fff0000,  2, t4, t1);
6368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t6, $t7,  3", 0x2fff0000,  3, t6, t7);
6369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t5, $t3,  4", 0x80000000,  4, t5, t3);
6370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t4,  5", 0xff01ffff,  5, t2, t4);
6371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t8,  6", 0x7fff7fff,  6, t0, t8);
6372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t6,  7", 0x00000555,  7, t4, t6);
6373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t1,  8", 0x00000000,  8, t0, t1);
6374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t3,  9", 0x80000000,  9, t2, t3);
6375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t1, 10", 0x55555555, 10, t4, t1);
6376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t6, $t7, 11", 0xffff2435, 11, t6, t7);
6377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t5, $t3, 12", 0xabababab, 12, t5, t3);
6378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t4, 13", 0xfc79b4d2, 13, t2, t4);
6379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t8, 14", 0x00000000, 14, t0, t8);
6380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t6, 15", 0x00354565, 15, t4, t6);
6381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t1,  0", 0x00086755,  0, t0, t1);
6382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t3,  1", 0x8f8f8f8f,  1, t2, t3);
6383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t1,  2", 0xeeeeeeee,  2, t4, t1);
6384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t6, $t7,  3", 0x1bdbdbdb,  3, t6, t7);
6385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t5, $t3,  4", 0xdecadeca,  4, t5, t3);
6386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t4,  5", 0x93474bde,  5, t2, t4);
6387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t8,  6", 0xfc0007ff,  6, t0, t8);
6388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t6,  7", 0xffffffff,  7, t4, t6);
6389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t1,  8", 0xcb4ab48f,  8, t0, t1);
6390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t3,  9", 0xaf8f7e18,  9, t2, t3);
6391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t1, 10", 0x87df4510, 10, t4, t1);
6392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t6, $t7, 11", 0xabf4e8e1, 11, t6, t7);
6393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t5, $t3, 12", 0xf4c0eeac, 12, t5, t3);
6394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t2, $t4, 13", 0x006a54f2, 13, t2, t4);
6395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t0, $t8, 14", 0x79f74493, 14, t0, t8);
6396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.ph $t4, $t6, 15", 0x9c09e313, 15, t4, t6);
6397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLL.QB --------\n");
6399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t1, 1", 0x00000000, 1, t0, t1);
6400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t3, 2", 0x2fff0000, 2, t2, t3);
6401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t1, 3", 0x2fff0000, 3, t4, t1);
6402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t6, $t7, 4", 0x2fff0000, 4, t6, t7);
6403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t5, $t3, 0", 0x80000000, 0, t5, t3);
6404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t4, 7", 0xff01ffff, 7, t2, t4);
6405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t8, 7", 0x7fff7fff, 7, t0, t8);
6406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t6, 0", 0x00000555, 0, t4, t6);
6407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t1, 1", 0x00000000, 1, t0, t1);
6408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t3, 2", 0x80000000, 2, t2, t3);
6409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t1, 3", 0x55555555, 3, t4, t1);
6410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t6, $t7, 4", 0xffff2435, 4, t6, t7);
6411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t5, $t3, 5", 0xabababab, 5, t5, t3);
6412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t4, 6", 0xfc79b4d2, 6, t2, t4);
6413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t8, 7", 0x00000000, 7, t0, t8);
6414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t6, 0", 0x00354565, 0, t4, t6);
6415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t1, 1", 0x00086755, 1, t0, t1);
6416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t3, 2", 0x8f8f8f8f, 2, t2, t3);
6417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t1, 3", 0xeeeeeeee, 3, t4, t1);
6418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t6, $t7, 4", 0x1bdbdbdb, 4, t6, t7);
6419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t5, $t3, 5", 0xdecadeca, 5, t5, t3);
6420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t4, 6", 0x93474bde, 6, t2, t4);
6421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t8, 7", 0xfc0007ff, 7, t0, t8);
6422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t6, 0", 0xffffffff, 0, t4, t6);
6423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t1, 3", 0xcb4ab48f, 3, t0, t1);
6424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t3, 4", 0xaf8f7e18, 4, t2, t3);
6425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t1, 0", 0x87df4510, 0, t4, t1);
6426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t6, $t7, 7", 0xabf4e8e1, 7, t6, t7);
6427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t5, $t3, 7", 0xf4c0eeac, 7, t5, t3);
6428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t2, $t4, 5", 0x006a54f2, 5, t2, t4);
6429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t0, $t8, 1", 0x79f74493, 1, t0, t8);
6430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll.qb $t4, $t6, 2", 0x9c09e313, 2, t4, t6);
6431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLL_S.W --------\n");
6433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t1,  0", 0x00000000,  0, t0, t1);
6434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t3,  1", 0x2fff0000,  1, t2, t3);
6435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t1,  2", 0x2fff0000,  2, t4, t1);
6436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t6, $t7,  3", 0x2fff0000,  3, t6, t7);
6437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t5, $t3,  4", 0x80000000,  4, t5, t3);
6438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t4,  5", 0xff01ffff,  5, t2, t4);
6439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t8,  6", 0x7fff7fff,  6, t0, t8);
6440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t6,  7", 0x00000555,  7, t4, t6);
6441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t1,  8", 0x00000000,  8, t0, t1);
6442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t3,  9", 0x80000000,  9, t2, t3);
6443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t1, 10", 0x55555555, 10, t4, t1);
6444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t6, $t7, 11", 0xffff2435, 11, t6, t7);
6445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t5, $t3, 12", 0xabababab, 12, t5, t3);
6446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t4, 13", 0xfc79b4d2, 13, t2, t4);
6447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t8, 14", 0x00000000, 14, t0, t8);
6448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t6, 15", 0x00354565, 15, t4, t6);
6449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t1, 16", 0x00086755,  0, t0, t1);
6450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t3, 17", 0x8f8f8f8f,  1, t2, t3);
6451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t1, 18", 0xeeeeeeee,  2, t4, t1);
6452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t6, $t7, 19", 0x1bdbdbdb,  3, t6, t7);
6453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t5, $t3, 20", 0xdecadeca,  4, t5, t3);
6454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t4, 21", 0x93474bde,  5, t2, t4);
6455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t8, 22", 0xfc0007ff,  6, t0, t8);
6456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t6, 23", 0xffffffff,  7, t4, t6);
6457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t1, 24", 0xcb4ab48f,  8, t0, t1);
6458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t3, 25", 0xaf8f7e18,  9, t2, t3);
6459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t1, 26", 0x87df4510, 10, t4, t1);
6460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t6, $t7, 27", 0xabf4e8e1, 11, t6, t7);
6461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t5, $t3, 28", 0xf4c0eeac, 12, t5, t3);
6462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t2, $t4, 29", 0x006a54f2, 13, t2, t4);
6463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t0, $t8, 30", 0x79f74493, 14, t0, t8);
6464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_DSPC("shll_s.w $t4, $t6, 31", 0x9c09e313, 15, t4, t6);
6465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLLV.PH --------\n");
6467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t1, $t2", 0x7fffffff, 0x00000000,
6468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t3, $t4", 0x80000000, 0x00000000,
6470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t1, $t5", 0x2fff0000, 0xfabc3401,
6472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t6, $t7, $t3", 0x2fff0000, 0x73468002,
6474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t5, $t3, $t2", 0x2fff0000, 0x80000003,
6476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
6478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
6480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
6482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
6484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
6486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
6488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
6490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
6492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
6494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
6496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
6498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
6500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
6502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
6504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
6506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
6508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
6510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
6512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
6514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
6516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
6518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
6520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
6522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
6524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
6526bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
6528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
6530bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6531bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6532bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLLV_S.PH --------\n");
6533bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t1, $t2", 0x7fffffff, 0x00000000,
6534bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6535bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t3, $t4", 0x80000000, 0x00000000,
6536bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6537bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t1, $t5", 0x2fff0000, 0xfabc3401,
6538bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6539bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t6, $t7, $t3", 0x2fff0000, 0x73468002,
6540bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6541bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t5, $t3, $t2", 0x2fff0000, 0x80000003,
6542bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6543bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
6544bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6545bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
6546bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6547bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
6548bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6549bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
6550bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6551bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
6552bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6553bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
6554bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6555bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
6556bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6557bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
6558bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6559bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
6560bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6561bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
6562bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6563bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
6564bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6565bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
6566bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6567bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
6568bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6569bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
6570bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6571bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
6572bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6573bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
6574bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6575bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
6576bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6577bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
6578bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6579bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
6580bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6581bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
6582bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6583bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
6584bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6585bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
6586bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6587bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
6588bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6589bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
6590bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6591bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
6592bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6593bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
6594bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6595bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
6596bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6597bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6598bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLLV.QB --------\n");
6599bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t1, $t2", 0x7fffffff, 0x00000000,
6600bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6601bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t3, $t4", 0x80000000, 0x00000000,
6602bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6603bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t1, $t5", 0x2fff0000, 0xfabc3401,
6604bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6605bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t6, $t7, $t3", 0x2fff0000, 0x73468002,
6606bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6607bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t5, $t3, $t2", 0x2fff0000, 0x80000003,
6608bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6609bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
6610bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6611bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
6612bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6613bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t6, $t1", 0x0000c420, 0x00000555,
6614bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6615bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t1, $t2", 0x00000000, 0x9348572b,
6616bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6617bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t3, $t4", 0x80000000, 0x80023450,
6618bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6619bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
6620bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6621bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t6, $t7, $t3", 0x00000018, 0xffff2435,
6622bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6623bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t5, $t3, $t2", 0xbabababa, 0xabababab,
6624bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6625bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
6626bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6627bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t8, $t0", 0xfbde3976, 0x00000000,
6628bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6629bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t6, $t1", 0x23534870, 0x00354565,
6630bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6631bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t1, $t2", 0x980b7cde, 0x00086755,
6632bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6633bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
6634bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6635bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
6636bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6637bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
6638bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6639bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
6640bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6641bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
6642bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6643bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
6644bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6645bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t6, $t1", 0xffffffff, 0xffffffff,
6646bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6647bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
6648bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6649bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
6650bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6651bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
6652bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6653bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
6654bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6655bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
6656bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6657bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
6658bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6659bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
6660bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6661bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv.qb $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
6662bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6663bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6664bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHLLV_S.W --------\n");
6665bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t1, $t2", 0x7fffffff, 0x00000000,
6666bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6667bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t3, $t4", 0x80000000, 0x00000000,
6668bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6669bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t1, $t5", 0x2fff0000, 0xfabc3401,
6670bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6671bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t6, $t7, $t3", 0x2fff0000, 0x73468002,
6672bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6673bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t5, $t3, $t2", 0x2fff0000, 0x80000003,
6674bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6675bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
6676bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6677bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
6678bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6679bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t6, $t1", 0x0000c420, 0x00000555,
6680bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6681bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t1, $t2", 0x00000000, 0x00000000,
6682bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6683bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t3, $t4", 0x80000000, 0x80000000,
6684bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6685bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
6686bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6687bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t6, $t7, $t3", 0x00000018, 0xffff2435,
6688bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6689bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t5, $t3, $t2", 0xbabababa, 0xabababab,
6690bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6691bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
6692bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6693bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t8, $t0", 0xfbde3976, 0x00000000,
6694bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6695bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t6, $t1", 0x23534870, 0x00354565,
6696bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6697bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t1, $t2", 0x980b7cde, 0x00086755,
6698bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6699bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
6700bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6701bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
6702bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6703bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
6704bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6705bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
6706bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6707bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
6708bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6709bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
6710bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6711bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t6, $t1", 0xffffffff, 0xffffffff,
6712bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6713bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
6714bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
6715bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
6716bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
6717bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
6718bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
6719bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
6720bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
6721bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
6722bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
6723bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
6724bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
6725bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
6726bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
6727bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_DSPC("shllv_s.w $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
6728bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
6729bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6730bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRA.PH --------\n");
6731bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t1,  0", 0x00000000,  0, t0, t1);
6732bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t3,  1", 0x00028632,  1, t2, t3);
6733bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t1,  2", 0xfabc3421,  2, t4, t1);
6734bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t6, $t7,  3", 0x734680bc,  3, t6, t7);
6735bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t5, $t3,  4", 0x80000000,  4, t5, t3);
6736bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t4,  5", 0xff01ffff,  5, t2, t4);
6737bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t8,  6", 0x7fff7fff,  6, t0, t8);
6738bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t6,  7", 0x00000555,  7, t4, t6);
6739bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t1,  8", 0x00000000,  8, t0, t1);
6740bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t3,  9", 0x80000000,  9, t2, t3);
6741bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t1, 10", 0x55555555, 10, t4, t1);
6742bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t6, $t7, 11", 0xffff2435, 11, t6, t7);
6743bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t5, $t3, 12", 0xabababab, 12, t5, t3);
6744bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t4, 13", 0xfc79b4d2, 13, t2, t4);
6745bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t8, 14", 0x00000000, 14, t0, t8);
6746bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t6, 15", 0x00354565, 15, t4, t6);
6747bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t1,  0", 0x00086755,  0, t0, t1);
6748bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t3,  1", 0x8f8f8f8f,  1, t2, t3);
6749bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t1,  2", 0xeeeeeeee,  2, t4, t1);
6750bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t6, $t7,  3", 0x1bdbdbdb,  3, t6, t7);
6751bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t5, $t3,  4", 0xdecadeca,  4, t5, t3);
6752bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t4,  5", 0x93474bde,  5, t2, t4);
6753bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t8,  6", 0xfc0007ff,  6, t0, t8);
6754bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t6,  7", 0xffffffff,  7, t4, t6);
6755bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t1,  8", 0xcb4ab48f,  8, t0, t1);
6756bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t3,  9", 0xaf8f7e18,  9, t2, t3);
6757bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t1, 10", 0x87df4510, 10, t4, t1);
6758bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t6, $t7, 11", 0xabf4e8e1, 11, t6, t7);
6759bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t5, $t3, 12", 0xf4c0eeac, 12, t5, t3);
6760bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t2, $t4, 13", 0x006a54f2, 13, t2, t4);
6761bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t0, $t8, 14", 0x79f74493, 14, t0, t8);
6762bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra.ph $t4, $t6, 15", 0x9c09e313, 15, t4, t6);
6763bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6764bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRA_R.PH --------\n");
6765bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t1,  0", 0x00000000,  0, t0,
6766bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6767bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t3,  1", 0x00028632,  1, t2,
6768bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6769bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t1,  2", 0xfabc3421,  2, t4,
6770bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6771bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t6, $t7,  3", 0x734680bc,  3, t6,
6772bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t7);
6773bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t5, $t3,  4", 0x80000000,  4, t5,
6774bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6775bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t4,  5", 0xff01ffff,  5, t2,
6776bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4);
6777bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t8,  6", 0x7fff7fff,  6, t0,
6778bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t8);
6779bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t6,  7", 0x00000555,  7, t4,
6780bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6);
6781bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t1,  8", 0x00000000,  8, t0,
6782bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6783bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t3,  9", 0x80000000,  9, t2,
6784bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6785bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t1, 10", 0x55555555, 10, t4,
6786bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6787bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t6, $t7, 11", 0xffff2435, 11, t6,
6788bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t7);
6789bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t5, $t3, 12", 0xabababab, 12, t5,
6790bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6791bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t4, 13", 0xfc79b4d2, 13, t2,
6792bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4);
6793bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t8, 14", 0x00000000, 14, t0,
6794bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t8);
6795bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t6, 15", 0x00354565, 15, t4,
6796bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6);
6797bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t1,  0", 0x00086755,  0, t0,
6798bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6799bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t3,  1", 0x8f8f8f8f,  1, t2,
6800bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6801bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t1,  2", 0xeeeeeeee,  2, t4,
6802bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6803bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t6, $t7,  3", 0x1bdbdbdb,  3, t6,
6804bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t7);
6805bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t5, $t3,  4", 0xdecadeca,  4, t5,
6806bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6807bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t4,  5", 0x93474bde,  5, t2,
6808bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4);
6809bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t8,  6", 0xfc0007ff,  6, t0,
6810bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t8);
6811bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t6,  7", 0xffffffff,  7, t4,
6812bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6);
6813bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t1,  8", 0xcb4ab48f,  8, t0,
6814bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6815bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t3,  9", 0xaf8f7e18,  9, t2,
6816bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6817bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t1, 10", 0x87df4510, 10, t4,
6818bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t1);
6819bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t6, $t7, 11", 0xabf4e8e1, 11, t6,
6820bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t7);
6821bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t5, $t3, 12", 0xf4c0eeac, 12, t5,
6822bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t3);
6823bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t2, $t4, 13", 0x006a54f2, 13, t2,
6824bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4);
6825bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t0, $t8, 14", 0x79f74493, 14, t0,
6826bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t8);
6827bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.ph $t4, $t6, 15", 0x9c09e313, 15, t4,
6828bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6);
6829bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6830bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRA_R.W --------\n");
6831bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  1", 0x2,  1, t2, t3);
6832bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3, 16", 0x80000000, 16, t2, t3);
6833bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  1", 0x10001,  1, t2, t3);
6834bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3, 17", 0x10001, 17, t2, t3);
6835bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3, 17", 0x80010001, 17, t2, t3);
6836bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  0", 0x7fffffff,  0, t2, t3);
6837bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  1", 0x7fffffff,  1, t2, t3);
6838bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  2", 0x7ffffffe,  2, t2, t3);
6839bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t1,  0", 0x00000000,  0, t0, t1);
6840bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t1,  4", 0xfabc3435,  4, t4, t1);
6841bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t6, $t7, 17", 0x07654cb8, 17, t6, t7);
6842bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t5, $t3, 31", 0xf973437b, 31, t5, t3);
6843bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t4,  8", 0x00ff0001,  8, t2, t4);
6844bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t8, 11", 0x7fff7fff, 11, t0, t8);
6845bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t6, 13", 0x0000c420, 13, t4, t6);
6846bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t1,  2", 0x00000000,  2, t0, t1);
6847bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3,  6", 0x80000000,  6, t2, t3);
6848bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t1,  7", 0xaaaaaaaa,  7, t4, t1);
6849bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t6, $t7, 19", 0x00000018, 19, t6, t7);
6850bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t5, $t3, 31", 0xbabababa, 31, t5, t3);
6851bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t4,  4", 0xf0f0f0f0,  4, t2, t4);
6852bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t8, 12", 0xfbde3976, 12, t0, t8);
6853bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t6, 10", 0x23534870, 10, t4, t6);
6854bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t1, 20", 0x980b7cde, 20, t0, t1);
6855bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3, 21", 0x00000018, 21, t2, t3);
6856bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t1, 24", 0x92784656, 24, t4, t1);
6857bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t6, $t7, 27", 0xcacacaca, 27, t6, t7);
6858bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t5, $t3,  1", 0xbacabaca,  1, t5, t3);
6859bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t4, 18", 0x12fadeb4, 18, t2, t4);
6860bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t8, 10", 0x7c000790, 10, t0, t8);
6861bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t6, 16", 0xffffffff, 16, t4, t6);
6862bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t1,  0", 0xf2f4df1f,  0, t0, t1);
6863bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t3, 14", 0x435f909a, 14, t2, t3);
6864bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t1,  5", 0x2106ba5f,  5, t4, t1);
6865bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t6, $t7,  7", 0x246a6376,  7, t6, t7);
6866bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t5, $t3,  9", 0x1046a1a3,  9, t5, t3);
6867bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t2, $t4,  3", 0x638ca515,  3, t2, t4);
6868bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t0, $t8, 15", 0xf63e7a9d, 15, t0, t8);
6869bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shra_r.w $t4, $t6, 11", 0xbd6845cd, 11, t4, t6);
6870bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6871bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRAV.PH --------\n");
6872bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t1, $t2", 0x7fffffff, 0x00000000,
6873bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
6874bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t3, $t4", 0x80000000, 0x00000000,
6875bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
6876bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
6877bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
6878bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
6879bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
6880bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
6881bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
6882bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
6883bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
6884bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
6885bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
6886bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
6887bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
6888bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
6889bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
6890bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
6891bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
6892bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
6893bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
6894bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
6895bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
6896bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
6897bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
6898bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
6899bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
6900bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
6901bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
6902bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
6903bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
6904bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
6905bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
6906bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
6907bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
6908bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
6909bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
6910bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
6911bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
6912bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
6913bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
6914bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
6915bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
6916bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
6917bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
6918bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
6919bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
6920bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
6921bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
6922bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
6923bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
6924bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
6925bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
6926bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
6927bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
6928bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
6929bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
6930bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
6931bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
6932bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
6933bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
6934bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
6935bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
6936bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
6937bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRAV_R.PH --------\n");
6938bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t1, $t2", 0x7fffffff,
6939bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
6940bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t3, $t4", 0x80000000,
6941bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t2, t3, t4);
6942bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t1, $t5", 0xfabc3435,
6943bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfabc3421, t4, t1, t5);
6944bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t6, $t7, $t3", 0x07654cb8,
6945bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
6946bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t5, $t3, $t2", 0xf973437b,
6947bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
6948bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t4, $t8", 0x00ff0001,
6949bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xff01ffff, t2, t4, t8);
6950bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t8, $t0", 0x7fff7004,
6951bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
6952bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t6, $t1", 0x0000c420,
6953bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
6954bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t1, $t2", 0x00000000,
6955bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
6956bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t3, $t4", 0x80000000,
6957bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
6958bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t1, $t5", 0xaaaaaaaa,
6959bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
6960bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t6, $t7, $t3", 0x00000018,
6961bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
6962bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t5, $t3, $t2", 0xbabababa,
6963bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
6964bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t4, $t8", 0xf0f0f0f0,
6965bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
6966bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t8, $t0", 0xfbde3976,
6967bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
6968bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t6, $t1", 0x23534870,
6969bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
6970bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t1, $t2", 0x980b7cde,
6971bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
6972bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t3, $t4", 0x00000018,
6973bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
6974bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t1, $t5", 0x92784656,
6975bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
6976bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t6, $t7, $t3", 0xcacacaca,
6977bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
6978bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t5, $t3, $t2", 0xbacabaca,
6979bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
6980bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t4, $t8", 0x12fadeb4,
6981bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
6982bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t8, $t0", 0x7c000790,
6983bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
6984bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t6, $t1", 0xffffffff,
6985bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
6986bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t1, $t2", 0xf2f4df1f,
6987bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
6988bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t3, $t4", 0x435f909a,
6989bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
6990bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t1, $t5", 0x2106ba5f,
6991bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
6992bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t6, $t7, $t3", 0x246a6376,
6993bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
6994bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t5, $t3, $t2", 0x1046a1a3,
6995bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
6996bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t2, $t4, $t8", 0x638ca515,
6997bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
6998bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t0, $t8, $t0", 0xf63e7a9d,
6999bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
7000bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.ph $t4, $t6, $t1", 0xbd6845cd,
7001bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
7002bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7003bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRAV_R.W --------\n");
7004bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t1, $t2", 0x7fffffff,
7005bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
7006bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t3, $t4", 0x80000000,
7007bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t2, t3, t4);
7008bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t1, $t5", 0xfabc3435,
7009bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfabc3421, t4, t1, t5);
7010bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t6, $t7, $t3", 0x07654cb8,
7011bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x734680bc, t6, t7, t3);
7012bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t5, $t3, $t2", 0xf973437b,
7013bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t5, t3, t2);
7014bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t4, $t8", 0x00ff0001,
7015bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xff01ffff, t2, t4, t8);
7016bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t8, $t0", 0x7fff7004,
7017bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x7fff7fff, t0, t8, t0);
7018bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t6, $t1", 0x0000c420,
7019bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000555, t4, t6, t1);
7020bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t1, $t2", 0x00000000,
7021bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t1, t2);
7022bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t3, $t4", 0x80000000,
7023bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x80000000, t2, t3, t4);
7024bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t1, $t5", 0xaaaaaaaa,
7025bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x55555555, t4, t1, t5);
7026bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t6, $t7, $t3", 0x00000018,
7027bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffff2435, t6, t7, t3);
7028bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t5, $t3, $t2", 0xbabababa,
7029bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabababab, t5, t3, t2);
7030bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t4, $t8", 0xf0f0f0f0,
7031bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc79b4d2, t2, t4, t8);
7032bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t8, $t0", 0xfbde3976,
7033bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00000000, t0, t8, t0);
7034bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t6, $t1", 0x23534870,
7035bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00354565, t4, t6, t1);
7036bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t1, $t2", 0x980b7cde,
7037bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x00086755, t0, t1, t2);
7038bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t3, $t4", 0x00000018,
7039bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x8f8f8f8f, t2, t3, t4);
7040bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t1, $t5", 0x92784656,
7041bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xeeeeeeee, t4, t1, t5);
7042bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t6, $t7, $t3", 0xcacacaca,
7043bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x1bdbdbdb, t6, t7, t3);
7044bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t5, $t3, $t2", 0xbacabaca,
7045bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xdecadeca, t5, t3, t2);
7046bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t4, $t8", 0x12fadeb4,
7047bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x93474bde, t2, t4, t8);
7048bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t8, $t0", 0x7c000790,
7049bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xfc0007ff, t0, t8, t0);
7050bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t6, $t1", 0xffffffff,
7051bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xffffffff, t4, t6, t1);
7052bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t1, $t2", 0xf2f4df1f,
7053bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xcb4ab48f, t0, t1, t2);
7054bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t3, $t4", 0x435f909a,
7055bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xaf8f7e18, t2, t3, t4);
7056bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t1, $t5", 0x2106ba5f,
7057bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x87df4510, t4, t1, t5);
7058bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t6, $t7, $t3", 0x246a6376,
7059bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xabf4e8e1, t6, t7, t3);
7060bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t5, $t3, $t2", 0x1046a1a3,
7061bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0xf4c0eeac, t5, t3, t2);
7062bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t2, $t4, $t8", 0x638ca515,
7063bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x006a54f2, t2, t4, t8);
7064bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t0, $t8, $t0", 0xf63e7a9d,
7065bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x79f74493, t0, t8, t0);
7066bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_NODSPC("shrav_r.w $t4, $t6, $t1", 0xbd6845cd,
7067bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               0x9c09e313, t4, t6, t1);
7068bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7069bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRL.QB --------\n");
7070bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t1, 1", 0x2fff0000, 1, t0, t1);
7071bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t3, 2", 0x2fff0000, 2, t2, t3);
7072bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t1, 3", 0x2fff0000, 3, t4, t1);
7073bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t6, $t7, 4", 0xff460000, 4, t6, t7);
7074bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t5, $t3, 0", 0x80000000, 0, t5, t3);
7075bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t4, 7", 0xff01ffff, 7, t2, t4);
7076bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t8, 7", 0x7fff7fff, 7, t0, t8);
7077bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t6, 0", 0x00000555, 0, t4, t6);
7078bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t1, 1", 0x00000000, 1, t0, t1);
7079bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t3, 2", 0x80000000, 2, t2, t3);
7080bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t1, 3", 0x55555555, 3, t4, t1);
7081bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t6, $t7, 4", 0xffff2435, 4, t6, t7);
7082bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t5, $t3, 5", 0xabababab, 5, t5, t3);
7083bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t4, 6", 0xfc79b4d2, 6, t2, t4);
7084bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t8, 7", 0x00000000, 7, t0, t8);
7085bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t6, 0", 0x00354565, 0, t4, t6);
7086bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t1, 1", 0x00086755, 1, t0, t1);
7087bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t3, 2", 0x8f8f8f8f, 2, t2, t3);
7088bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t1, 3", 0xeeeeeeee, 3, t4, t1);
7089bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t6, $t7, 4", 0x1bdbdbdb, 4, t6, t7);
7090bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t5, $t3, 5", 0xdecadeca, 5, t5, t3);
7091bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t4, 6", 0x93474bde, 6, t2, t4);
7092bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t8, 7", 0xfc0007ff, 7, t0, t8);
7093bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t6, 0", 0xffffffff, 0, t4, t6);
7094bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t1, 3", 0xcb4ab48f, 3, t0, t1);
7095bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t3, 4", 0xaf8f7e18, 4, t2, t3);
7096bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t1, 0", 0x87df4510, 0, t4, t1);
7097bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t6, $t7, 7", 0xabf4e8e1, 7, t6, t7);
7098bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t5, $t3, 7", 0xf4c0eeac, 7, t5, t3);
7099bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t2, $t4, 5", 0x006a54f2, 5, t2, t4);
7100bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t0, $t8, 1", 0x79f74493, 1, t0, t8);
7101bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_SA_NODSPC("shrl.qb $t4, $t6, 2", 0x9c09e313, 2, t4, t6);
7102bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7103bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SHRLV.QB -------- \n");
7104bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t1, $t2", 0x2fff0000, 0x00000001,
7105bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
7106bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t3, $t4", 0x2fff0000, 0x73741802,
7107bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
7108bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t1, $t5", 0x2fff0000, 0x80003403,
7109bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
7110bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t6, $t7, $t3", 0xff460000, 0x73468004,
7111bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
7112bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t5, $t3, $t2", 0x00008000, 0x80000000,
7113bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
7114bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t4, $t8", 0x00010001, 0xffffff07,
7115bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
7116bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t8, $t0", 0x7fff7fff, 0x7fff7f07,
7117bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
7118bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t6, $t1", 0xffffffff, 0x00000505,
7119bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
7120bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t1, $t2", 0xabababab, 0x00000000,
7121bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
7122bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t3, $t4", 0xdecadeca, 0x80000000,
7123bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
7124bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t1, $t5", 0xbacabaca, 0x55555555,
7125bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
7126bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t6, $t7, $t3", 0x3545ff80, 0xffff2434,
7127bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
7128bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t5, $t3, $t2", 0x734680bc, 0xabababa3,
7129bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
7130bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t4, $t8", 0xc4dbfe20, 0xfc79b4d2,
7131bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
7132bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t8, $t0", 0x00000000, 0x00000000,
7133bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
7134bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t3, $t1", 0x55555555, 0x00354561,
7135bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t3, t1);
7136bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t1, $t2", 0xad80bce4, 0x00086755,
7137bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
7138bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t3, $t4", 0x7f003245, 0x8f8f8f8f,
7139bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
7140bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t1, $t5", 0x93474bde, 0xeeeeeeee,
7141bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
7142bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t6, $t7, $t3", 0xf97343ff, 0x1bdbdbdb,
7143bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
7144bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t5, $t3, $t2", 0x980b7cde, 0xdecadeca,
7145bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
7146bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t4, $t8", 0x0555adec, 0x93474bde,
7147bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
7148bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t8, $t0", 0x23534870, 0xfc0007ff,
7149bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
7150bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t3, $t1", 0x80003286, 0xffffffff,
7151bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t3, t1);
7152bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t6, $t1", 0x4387ffff, 0xdecadeca,
7153bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t6, t1);
7154bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t1, $t2", 0x0cd6b508, 0xbacabaca,
7155bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
7156bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t3, $t4", 0x6731e282, 0x3545ff80,
7157bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
7158bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t1, $t5", 0x26edf28f, 0x734680bc,
7159bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
7160bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t6, $t7, $t3", 0x4b4ec9ca, 0xc4dbfe20,
7161bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
7162bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t5, $t3, $t2", 0xc1037fa4, 0x00000000,
7163bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
7164bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t4, $t8", 0xcb4ab48f, 0x55555555,
7165bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
7166bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t8, $t0", 0xaf8f7e18, 0xad80bce4,
7167bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
7168bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t3, $t1", 0x87df4510, 0x7f003245,
7169bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t3, t1);
7170bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t1, $t2", 0xabf4e8e1, 0x93474bde,
7171bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t1, t2);
7172bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t3, $t4", 0xf4c0eeac, 0xf97343ff,
7173bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t3, t4);
7174bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t1, $t5", 0x006a54f2, 0x980b7cde,
7175bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t1, t5);
7176bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t6, $t7, $t3", 0x79f74493, 0x0555adec,
7177bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t6, t7, t3);
7178bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t5, $t3, $t2", 0x9c09e313, 0x23534870,
7179bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t5, t3, t2);
7180bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t2, $t4, $t8", 0x9c09e313, 0x9c09e313,
7181bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t2, t4, t8);
7182bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t0, $t8, $t0", 0x80000000, 0x80000000,
7183bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t0, t8, t0);
7184bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RT_RS_NODSPC("shrlv.qb $t4, $t3, $t1", 0x004d8000, 0x004d8000,
7185bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                               t4, t3, t1);
7186bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7187bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SUBQ.PH --------\n");
7188bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
7189bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7190bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t3, $t4", 0x045fb232, 0x00028632,
7191bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7192bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
7193bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7194bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
7195bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7196bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
7197bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7198bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
7199bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7200bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
7201bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7202bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
7203bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7204bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
7205bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7206bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
7207bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7208bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
7209bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7210bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
7211bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7212bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
7213bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7214bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
7215bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7216bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
7217bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7218bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
7219bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7220bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
7221bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7222bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
7223bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7224bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
7225bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7226bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
7227bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7228bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
7229bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7230bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
7231bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7232bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
7233bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7234bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
7235bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7236bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
7237bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7238bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
7239bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7240bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
7241bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7242bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
7243bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7244bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
7245bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7246bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
7247bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7248bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
7249bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7250bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
7251bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7252bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7253bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SUBQ_S.PH --------\n");
7254bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
7255bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
725686e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t3, $t4", 0x00020002, 0x00010001,
725786e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t2, t3, t4);
725886e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t1, $t5", 0x0002fffe, 0x0001ffff,
725986e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t4, t1, t5);
726086e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t6, $t7, $t3", 0x7fff8000, 0x7fff8000,
726186e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t6, t7, t3);
726286e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t5, $t3, $t2", 0x7fff8000, 0x7ffe8001,
726386e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t5, t3, t2);
726486e41825df7c3f90119b3ffcd9308d17492b7113dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
726586e41825df7c3f90119b3ffcd9308d17492b7113dejanj                             t0, t1, t2);
7266bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t3, $t4", 0x045fb232, 0x00028632,
7267bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7268bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
7269bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7270bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
7271bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7272bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t5, $t3, $t2", 0xf973437b, 0x80000000,
7273bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7274bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
7275bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7276bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
7277bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7278bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t6, $t1", 0x0000c420, 0x00000555,
7279bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7280bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t1, $t2", 0x00000000, 0x00000000,
7281bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7282bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t3, $t4", 0x80000000, 0x80000000,
7283bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7284bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
7285bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7286bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t6, $t7, $t3", 0x00000018, 0xffff2435,
7287bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7288bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t5, $t3, $t2", 0xbabababa, 0xabababab,
7289bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7290bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
7291bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7292bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t8, $t0", 0xfbde3976, 0x00000000,
7293bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7294bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t6, $t1", 0x23534870, 0x00354565,
7295bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7296bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t1, $t2", 0x980b7cde, 0x00086755,
7297bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7298bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
7299bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7300bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
7301bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7302bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
7303bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7304bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
7305bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7306bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
7307bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7308bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
7309bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7310bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t6, $t1", 0xffffffff, 0xffffffff,
7311bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7312bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
7313bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7314bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
7315bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7316bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
7317bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7318bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
7319bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7320bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
7321bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7322bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
7323bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7324bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
7325bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7326bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.ph $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
7327bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7328bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7329bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SUBQ_S.W --------\n");
7330bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t1, $t2", 0x7fffffff, 0x00000000,
7331bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7332bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t3, $t4", 0x80000000, 0x00000000,
7333bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7334bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
7335bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7336bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
7337bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7338bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t5, $t3, $t2", 0xf973437b, 0x80000000,
7339bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7340bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
7341bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7342bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
7343bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7344bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t6, $t1", 0x0000c420, 0x00000555,
7345bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7346bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t1, $t2", 0x00000000, 0x00000000,
7347bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7348bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t3, $t4", 0x80000000, 0x80000000,
7349bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7350bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
7351bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7352bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t6, $t7, $t3", 0x00000018, 0xffff2435,
7353bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7354bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t5, $t3, $t2", 0xbabababa, 0xabababab,
7355bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7356bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
7357bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7358bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t8, $t0", 0xfbde3976, 0x00000000,
7359bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7360bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t6, $t1", 0x23534870, 0x00354565,
7361bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7362bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t1, $t2", 0x980b7cde, 0x00086755,
7363bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7364bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
7365bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7366bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
7367bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7368bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
7369bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7370bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
7371bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7372bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
7373bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7374bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
7375bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7376bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t6, $t1", 0xffffffff, 0xffffffff,
7377bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7378bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
7379bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7380bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
7381bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7382bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
7383bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7384bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
7385bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7386bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
7387bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7388bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
7389bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7390bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
7391bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7392bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subq_s.w $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
7393bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7394bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7395bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SUBU.QB --------\n");
7396bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t1, $t2", 0x7fffffff, 0x00000000,
7397bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7398bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t3, $t4", 0x80000000, 0x00000000,
7399bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7400bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
7401bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7402bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
7403bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7404bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t5, $t3, $t2", 0xf973437b, 0x80000000,
7405bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7406bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
7407bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7408bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
7409bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7410bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t6, $t1", 0x0000c420, 0x00000555,
7411bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7412bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t1, $t2", 0x00000000, 0x00000000,
7413bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7414bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t3, $t4", 0x80000000, 0x80000000,
7415bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7416bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
7417bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7418bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t6, $t7, $t3", 0x00000018, 0xffff2435,
7419bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7420bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t5, $t3, $t2", 0xbabababa, 0xabababab,
7421bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7422bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
7423bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7424bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t8, $t0", 0xfbde3976, 0x00000000,
7425bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7426bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t6, $t1", 0x23534870, 0x00354565,
7427bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7428bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t1, $t2", 0x980b7cde, 0x00086755,
7429bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7430bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
7431bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7432bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
7433bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7434bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
7435bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7436bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
7437bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7438bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
7439bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7440bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
7441bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7442bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t6, $t1", 0xffffffff, 0xffffffff,
7443bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7444bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
7445bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7446bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
7447bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7448bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
7449bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7450bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
7451bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7452bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
7453bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7454bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
7455bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7456bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
7457bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7458bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu.qb $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
7459bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7460bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7461bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   printf("-------- SUBU_S.QB --------\n");
7462bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t1, $t2", 0x7fffffff, 0x00000000,
7463bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7464bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t3, $t4", 0x80000000, 0x00000000,
7465bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7466bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t1, $t5", 0xfabc3435, 0xfabc3421,
7467bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7468bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t6, $t7, $t3", 0x07654cb8, 0x734680bc,
7469bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7470bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t5, $t3, $t2", 0xf973437b, 0x80000000,
7471bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7472bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t4, $t8", 0x00ff0001, 0xff01ffff,
7473bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7474bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t8, $t0", 0x7fff7004, 0x7fff7fff,
7475bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7476bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t6, $t1", 0x0000c420, 0x00000555,
7477bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7478bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t1, $t2", 0x00000000, 0x00000000,
7479bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7480bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t3, $t4", 0x80000000, 0x80000000,
7481bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7482bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t1, $t5", 0xaaaaaaaa, 0x55555555,
7483bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7484bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t6, $t7, $t3", 0x00000018, 0xffff2435,
7485bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7486bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t5, $t3, $t2", 0xbabababa, 0xabababab,
7487bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7488bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t4, $t8", 0xf0f0f0f0, 0xfc79b4d2,
7489bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7490bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t8, $t0", 0xfbde3976, 0x00000000,
7491bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7492bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t6, $t1", 0x23534870, 0x00354565,
7493bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7494bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t1, $t2", 0x980b7cde, 0x00086755,
7495bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7496bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t3, $t4", 0x00000018, 0x8f8f8f8f,
7497bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7498bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t1, $t5", 0x92784656, 0xeeeeeeee,
7499bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7500bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t6, $t7, $t3", 0xcacacaca, 0x1bdbdbdb,
7501bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7502bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t5, $t3, $t2", 0xbacabaca, 0xdecadeca,
7503bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7504bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t4, $t8", 0x12fadeb4, 0x93474bde,
7505bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7506bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t8, $t0", 0x7c000790, 0xfc0007ff,
7507bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7508bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t6, $t1", 0xffffffff, 0xffffffff,
7509bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7510bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t1, $t2", 0xf2f4df1f, 0xcb4ab48f,
7511bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t1, t2);
7512bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t3, $t4", 0x435f909a, 0xaf8f7e18,
7513bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t3, t4);
7514bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t1, $t5", 0x2106ba5f, 0x87df4510,
7515bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t1, t5);
7516bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t6, $t7, $t3", 0x246a6376, 0xabf4e8e1,
7517bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t6, t7, t3);
7518bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t5, $t3, $t2", 0x1046a1a3, 0xf4c0eeac,
7519bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t5, t3, t2);
7520bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t2, $t4, $t8", 0x638ca515, 0x006a54f2,
7521bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t2, t4, t8);
7522bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t0, $t8, $t0", 0xf63e7a9d, 0x79f74493,
7523bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t0, t8, t0);
7524bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   TESTDSPINST_RD_RS_RT_DSPC("subu_s.qb $t4, $t6, $t1", 0xbd6845cd, 0x9c09e313,
7525bf68e98f4532d5469f7be4ef77933ae50069f201dejanj                             t4, t6, t1);
7526751c1e6e1657cbafe30baaf36ad9bd011c3a179bdejanj#endif
7527bf68e98f4532d5469f7be4ef77933ae50069f201dejanj
7528bf68e98f4532d5469f7be4ef77933ae50069f201dejanj   return 0;
7529bf68e98f4532d5469f7be4ef77933ae50069f201dejanj}