122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/*
222a826288522863fb748851824ce634eda4f1b07Sonic Zhang * Copyright 2011 Analog Devices Inc.
322a826288522863fb748851824ce634eda4f1b07Sonic Zhang *
422a826288522863fb748851824ce634eda4f1b07Sonic Zhang * Licensed under the GPL-2 or later.
522a826288522863fb748851824ce634eda4f1b07Sonic Zhang */
622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#ifndef _CDEF_BF60X_H
822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define _CDEF_BF60X_H
922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
1022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* ************************************************************** */
1122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SYSTEM & MMR ADDRESS DEFINITIONS COMMON TO ALL ADSP-BF60x    */
1222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* ************************************************************** */
1322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
1422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Debug/MP/Emulation Registers (0xFFC00014 - 0xFFC00014) */
1522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
1622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CHIPID()		bfin_read32(CHIPID)
1722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CHIPID(val)		bfin_write32(CHIPID, val)
1822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
1922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* System Reset and Interrubfin_read_()t Controller (0xFFC00100 - 0xFFC00104) */
2022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
2122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SEC0 Registers */
2222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC0_CCTL()		bfin_read32(SEC0_CCTL)
2322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC0_CCTL(val)	bfin_write32(SEC0_CCTL, val)
2422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC0_CSID()		bfin_read32(SEC0_CSID)
2522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC0_CSID(val)	bfin_write32(SEC0_CSID, val)
2622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC_GCTL()		bfin_read32(SEC_GCTL)
2722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC_GCTL(val)	bfin_write32(SEC_GCTL, val)
2822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
2922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC_FCTL()		bfin_read32(SEC_FCTL)
3022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC_FCTL(val)	bfin_write32(SEC_FCTL, val)
3122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
3222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC_SCTL(sid)		bfin_read32((SEC_SCTL0 + (sid) * 8))
3322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC_SCTL(sid, val)	bfin_write32((SEC_SCTL0 + (sid) * 8), val)
3422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
3522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SEC_SSTAT(sid)	bfin_read32((SEC_SSTAT0 + (sid) * 8))
3622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SEC_SSTAT(sid, val)	bfin_write32((SEC_SSTAT0 + (sid) * 8), val)
3722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
3822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* RCU0 Registers */
3922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RCU0_CTL()		bfin_read32(RCU0_CTL)
4022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RCU0_CTL(val)	bfin_write32(RCU0_CTL, val)
4122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
4222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Watchdog Timer Registers */
4322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_WDOG_CTL()		bfin_read16(WDOG_CTL)
4422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_WDOG_CTL(val)	bfin_write16(WDOG_CTL, val)
4522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_WDOG_CNT()		bfin_read32(WDOG_CNT)
4622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_WDOG_CNT(val)	bfin_write32(WDOG_CNT, val)
4722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_WDOG_STAT()		bfin_read32(WDOG_STAT)
4822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_WDOG_STAT(val)	bfin_write32(WDOG_STAT, val)
4922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
5022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* RTC Registers */
5122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
5222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* UART0 Registers */
5322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
5422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_REVID()		bfin_read32(UART0_REVID)
5522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_REVID(val)	bfin_write32(UART0_REVID, val)
5622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_GCTL()		bfin_read32(UART0_GCTL)
5722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_GCTL(val)	bfin_write32(UART0_GCTL, val)
5822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_STAT()		bfin_read32(UART0_STAT)
5922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_STAT(val)	bfin_write32(UART0_STAT, val)
6022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_SCR()		bfin_read32(UART0_SCR)
6122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_SCR(val)	bfin_write32(UART0_SCR, val)
6222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_CLK()		bfin_read32(UART0_CLK)
6322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_CLK(val)	bfin_write32(UART0_CLK, val)
6422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_IER()		bfin_read32(UART0_IER)
6522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_IER(val)	bfin_write32(UART0_IER, val)
6622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_IER_SET()	bfin_read32(UART0_IER_SET)
6722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_IER_SET(val)	bfin_write32(UART0_IER_SET, val)
6822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_IER_CLEAR()	bfin_read32(UART0_IER_CLEAR)
6922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_IER_CLEAR(val)	bfin_write32(UART0_IER_CLEAR, val)
7022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_RBR()		bfin_read32(UART0_RBR)
7122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_RBR(val)	bfin_write32(UART0_RBR, val)
7222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_THR()		bfin_read32(UART0_THR)
7322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_THR(val)	bfin_write32(UART0_THR, val)
7422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_TAIP()		bfin_read32(UART0_TAIP)
7522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_TAIP(val)	bfin_write32(UART0_TAIP, val)
7622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_TSR()		bfin_read32(UART0_TSR)
7722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_TSR(val)	bfin_write32(UART0_TSR, val)
7822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_RSR()		bfin_read32(UART0_RSR)
7922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_RSR(val)	bfin_write32(UART0_RSR, val)
8022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_TXCNT()		bfin_read32(UART0_TXCNT)
8122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_TXCNT(val)	bfin_write32(UART0_TXCNT, val)
8222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART0_RXCNT()		bfin_read32(UART0_RXCNT)
8322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART0_RXCNT(val)	bfin_write32(UART0_RXCNT, val)
8422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
8522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* UART1 Registers */
8622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
8722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_REVID()		bfin_read32(UART1_REVID)
8822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_REVID(val)	bfin_write32(UART1_REVID, val)
8922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_GCTL()		bfin_read32(UART1_GCTL)
9022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_GCTL(val)	bfin_write32(UART1_GCTL, val)
9122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_STAT()		bfin_read32(UART1_STAT)
9222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_STAT(val)	bfin_write32(UART1_STAT, val)
9322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_SCR()		bfin_read32(UART1_SCR)
9422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_SCR(val)	bfin_write32(UART1_SCR, val)
9522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_CLK()		bfin_read32(UART1_CLK)
9622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_CLK(val)	bfin_write32(UART1_CLK, val)
9722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_IER()		bfin_read32(UART1_IER)
9822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_IER(val)	bfin_write32(UART1_IER, val)
9922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_IER_SET()	bfin_read32(UART1_IER_SET)
10022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_IER_SET(val)	bfin_write32(UART1_IER_SET, val)
10122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_IER_CLEAR()	bfin_read32(UART1_IER_CLEAR)
10222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_IER_CLEAR(val)	bfin_write32(UART1_IER_CLEAR, val)
10322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_RBR()		bfin_read32(UART1_RBR)
10422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_RBR(val)	bfin_write32(UART1_RBR, val)
10522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_THR()		bfin_read32(UART1_THR)
10622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_THR(val)	bfin_write32(UART1_THR, val)
10722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_TAIP()		bfin_read32(UART1_TAIP)
10822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_TAIP(val)	bfin_write32(UART1_TAIP, val)
10922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_TSR()		bfin_read32(UART1_TSR)
11022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_TSR(val)	bfin_write32(UART1_TSR, val)
11122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_RSR()		bfin_read32(UART1_RSR)
11222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_RSR(val)	bfin_write32(UART1_RSR, val)
11322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_TXCNT()		bfin_read32(UART1_TXCNT)
11422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_TXCNT(val)	bfin_write32(UART1_TXCNT, val)
11522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_UART1_RXCNT()		bfin_read32(UART1_RXCNT)
11622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_UART1_RXCNT(val)	bfin_write32(UART1_RXCNT, val)
11722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
11822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
11922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SPI0 Registers */
12022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
12122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_CTL()		bfin_read32(SPI0_CTL)
12222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_CTL(val)	bfin_write32(SPI0_CTL, val)
12322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_RXCTL()		bfin_read32(SPI0_RXCTL)
12422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_RXCTL(val)	bfin_write32(SPI0_RXCTL, val)
12522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_TXCTL()		bfin_read32(SPI0_TXCTL)
12622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_TXCTL(val)	bfin_write32(SPI0_TXCTL, val)
12722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_CLK()		bfin_read32(SPI0_CLK)
12822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_CLK(val)	bfin_write32(SPI0_CLK, val)
12922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_DLY()		bfin_read32(SPI0_DLY)
13022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_DLY(val)	bfin_write32(SPI0_DLY, val)
13122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_SLVSEL()		bfin_read32(SPI0_SLVSEL)
13222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_SLVSEL(val)	bfin_write32(SPI0_SLVSEL, val)
13322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_RWC()		bfin_read32(SPI0_RWC)
13422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_RWC(val)	bfin_write32(SPI0_RWC, val)
13522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_RWCR()		bfin_read32(SPI0_RWCR)
13622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_RWCR(val)	bfin_write32(SPI0_RWCR, val)
13722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_TWC()		bfin_read32(SPI0_TWC)
13822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_TWC(val)	bfin_write32(SPI0_TWC, val)
13922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_TWCR()		bfin_read32(SPI0_TWCR)
14022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_TWCR(val)	bfin_write32(SPI0_TWCR, val)
14122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_IMSK()		bfin_read32(SPI0_IMSK)
14222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_IMSK(val)	bfin_write32(SPI0_IMSK, val)
14322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_IMSK_CLR()	bfin_read32(SPI0_IMSK_CLR)
14422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_IMSK_CLR(val)	bfin_write32(SPI0_IMSK_CLR, val)
14522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_IMSK_SET()	bfin_read32(SPI0_IMSK_SET)
14622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_IMSK_SET(val)	bfin_write32(SPI0_IMSK_SET, val)
14722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_STAT()		bfin_read32(SPI0_STAT)
14822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_STAT(val)	bfin_write32(SPI0_STAT, val)
14922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_ILAT()		bfin_read32(SPI0_ILAT)
15022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_ILAT(val)	bfin_write32(SPI0_ILAT, val)
15122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_ILAT_CLR()	bfin_read32(SPI0_ILAT_CLR)
15222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_ILAT_CLR(val)	bfin_write32(SPI0_ILAT_CLR, val)
15322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_RFIFO()		bfin_read32(SPI0_RFIFO)
15422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_RFIFO(val)	bfin_write32(SPI0_RFIFO, val)
15522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI0_TFIFO()		bfin_read32(SPI0_TFIFO)
15622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI0_TFIFO(val)	bfin_write32(SPI0_TFIFO, val)
15722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
15822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SPI1 Registers */
15922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
16022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_CTL()		bfin_read32(SPI1_CTL)
16122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_CTL(val)	bfin_write32(SPI1_CTL, val)
16222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_RXCTL()		bfin_read32(SPI1_RXCTL)
16322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_RXCTL(val)	bfin_write32(SPI1_RXCTL, val)
16422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_TXCTL()		bfin_read32(SPI1_TXCTL)
16522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_TXCTL(val)	bfin_write32(SPI1_TXCTL, val)
16622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_CLK()		bfin_read32(SPI1_CLK)
16722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_CLK(val)	bfin_write32(SPI1_CLK, val)
16822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_DLY()		bfin_read32(SPI1_DLY)
16922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_DLY(val)	bfin_write32(SPI1_DLY, val)
17022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_SLVSEL()		bfin_read32(SPI1_SLVSEL)
17122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_SLVSEL(val)	bfin_write32(SPI1_SLVSEL, val)
17222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_RWC()		bfin_read32(SPI1_RWC)
17322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_RWC(val)	bfin_write32(SPI1_RWC, val)
17422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_RWCR()		bfin_read32(SPI1_RWCR)
17522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_RWCR(val)	bfin_write32(SPI1_RWCR, val)
17622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_TWC()		bfin_read32(SPI1_TWC)
17722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_TWC(val)	bfin_write32(SPI1_TWC, val)
17822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_TWCR()		bfin_read32(SPI1_TWCR)
17922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_TWCR(val)	bfin_write32(SPI1_TWCR, val)
18022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_IMSK()		bfin_read32(SPI1_IMSK)
18122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_IMSK(val)	bfin_write32(SPI1_IMSK, val)
18222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_IMSK_CLR()	bfin_read32(SPI1_IMSK_CLR)
18322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_IMSK_CLR(val)	bfin_write32(SPI1_IMSK_CLR, val)
18422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_IMSK_SET()	bfin_read32(SPI1_IMSK_SET)
18522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_IMSK_SET(val)	bfin_write32(SPI1_IMSK_SET, val)
18622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_STAT()		bfin_read32(SPI1_STAT)
18722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_STAT(val)	bfin_write32(SPI1_STAT, val)
18822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_ILAT()		bfin_read32(SPI1_ILAT)
18922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_ILAT(val)	bfin_write32(SPI1_ILAT, val)
19022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_ILAT_CLR()	bfin_read32(SPI1_ILAT_CLR)
19122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_ILAT_CLR(val)	bfin_write32(SPI1_ILAT_CLR, val)
19222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_RFIFO()		bfin_read32(SPI1_RFIFO)
19322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_RFIFO(val)	bfin_write32(SPI1_RFIFO, val)
19422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SPI1_TFIFO()		bfin_read32(SPI1_TFIFO)
19522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SPI1_TFIFO(val)	bfin_write32(SPI1_TFIFO, val)
19622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
19722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Timer 0-7 registers */
19822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER0_CONFIG()            bfin_read16(TIMER0_CONFIG)
19922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER0_CONFIG(val)        bfin_write16(TIMER0_CONFIG, val)
20022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER0_COUNTER()           bfin_read32(TIMER0_COUNTER)
20122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER0_COUNTER(val)       bfin_write32(TIMER0_COUNTER, val)
20222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER0_PERIOD()            bfin_read32(TIMER0_PERIOD)
20322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER0_PERIOD(val)        bfin_write32(TIMER0_PERIOD, val)
20422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER0_WIDTH()             bfin_read32(TIMER0_WIDTH)
20522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER0_WIDTH(val)         bfin_write32(TIMER0_WIDTH, val)
20622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER1_CONFIG()            bfin_read16(TIMER1_CONFIG)
20722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER1_CONFIG(val)        bfin_write16(TIMER1_CONFIG, val)
20822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER1_COUNTER()           bfin_read32(TIMER1_COUNTER)
20922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER1_COUNTER(val)       bfin_write32(TIMER1_COUNTER, val)
21022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER1_PERIOD()            bfin_read32(TIMER1_PERIOD)
21122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER1_PERIOD(val)        bfin_write32(TIMER1_PERIOD, val)
21222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER1_WIDTH()             bfin_read32(TIMER1_WIDTH)
21322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER1_WIDTH(val)         bfin_write32(TIMER1_WIDTH, val)
21422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER2_CONFIG()            bfin_read16(TIMER2_CONFIG)
21522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER2_CONFIG(val)        bfin_write16(TIMER2_CONFIG, val)
21622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER2_COUNTER()           bfin_read32(TIMER2_COUNTER)
21722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER2_COUNTER(val)       bfin_write32(TIMER2_COUNTER, val)
21822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER2_PERIOD()            bfin_read32(TIMER2_PERIOD)
21922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER2_PERIOD(val)        bfin_write32(TIMER2_PERIOD, val)
22022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER2_WIDTH()             bfin_read32(TIMER2_WIDTH)
22122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER2_WIDTH(val)         bfin_write32(TIMER2_WIDTH, val)
22222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER3_CONFIG()            bfin_read16(TIMER3_CONFIG)
22322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER3_CONFIG(val)        bfin_write16(TIMER3_CONFIG, val)
22422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER3_COUNTER()           bfin_read32(TIMER3_COUNTER)
22522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER3_COUNTER(val)       bfin_write32(TIMER3_COUNTER, val)
22622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER3_PERIOD()            bfin_read32(TIMER3_PERIOD)
22722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER3_PERIOD(val)        bfin_write32(TIMER3_PERIOD, val)
22822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER3_WIDTH()             bfin_read32(TIMER3_WIDTH)
22922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER3_WIDTH(val)         bfin_write32(TIMER3_WIDTH, val)
23022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER4_CONFIG()            bfin_read16(TIMER4_CONFIG)
23122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER4_CONFIG(val)        bfin_write16(TIMER4_CONFIG, val)
23222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER4_COUNTER()           bfin_read32(TIMER4_COUNTER)
23322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER4_COUNTER(val)       bfin_write32(TIMER4_COUNTER, val)
23422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER4_PERIOD()            bfin_read32(TIMER4_PERIOD)
23522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER4_PERIOD(val)        bfin_write32(TIMER4_PERIOD, val)
23622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER4_WIDTH()             bfin_read32(TIMER4_WIDTH)
23722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER4_WIDTH(val)         bfin_write32(TIMER4_WIDTH, val)
23822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER5_CONFIG()            bfin_read16(TIMER5_CONFIG)
23922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER5_CONFIG(val)        bfin_write16(TIMER5_CONFIG, val)
24022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER5_COUNTER()           bfin_read32(TIMER5_COUNTER)
24122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER5_COUNTER(val)       bfin_write32(TIMER5_COUNTER, val)
24222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER5_PERIOD()            bfin_read32(TIMER5_PERIOD)
24322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER5_PERIOD(val)        bfin_write32(TIMER5_PERIOD, val)
24422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER5_WIDTH()             bfin_read32(TIMER5_WIDTH)
24522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER5_WIDTH(val)         bfin_write32(TIMER5_WIDTH, val)
24622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER6_CONFIG()            bfin_read16(TIMER6_CONFIG)
24722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER6_CONFIG(val)        bfin_write16(TIMER6_CONFIG, val)
24822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER6_COUNTER()           bfin_read32(TIMER6_COUNTER)
24922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER6_COUNTER(val)       bfin_write32(TIMER6_COUNTER, val)
25022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER6_PERIOD()            bfin_read32(TIMER6_PERIOD)
25122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER6_PERIOD(val)        bfin_write32(TIMER6_PERIOD, val)
25222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER6_WIDTH()             bfin_read32(TIMER6_WIDTH)
25322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER6_WIDTH(val)         bfin_write32(TIMER6_WIDTH, val)
25422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER7_CONFIG()            bfin_read16(TIMER7_CONFIG)
25522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER7_CONFIG(val)        bfin_write16(TIMER7_CONFIG, val)
25622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER7_COUNTER()           bfin_read32(TIMER7_COUNTER)
25722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER7_COUNTER(val)       bfin_write32(TIMER7_COUNTER, val)
25822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER7_PERIOD()            bfin_read32(TIMER7_PERIOD)
25922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER7_PERIOD(val)        bfin_write32(TIMER7_PERIOD, val)
26022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_TIMER7_WIDTH()             bfin_read32(TIMER7_WIDTH)
26122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_TIMER7_WIDTH(val)         bfin_write32(TIMER7_WIDTH, val)
26222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
26322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
26422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
26522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
26622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Two Wire Interface Registers (TWI0) */
26722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
26822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SPORT1 Registers */
26922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
27022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
27122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* SMC Registers */
27222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_GCTL() bfin_read32(SMC_GCTL)
27322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_GCTL(val) bfin_write32(SMC_GCTL, val)
27422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_GSTAT() bfin_read32(SMC_GSTAT)
27522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B0CTL() bfin_read32(SMC_B0CTL)
27622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B0CTL(val) bfin_write32(SMC_B0CTL, val)
27722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B0TIM() bfin_read32(SMC_B0TIM)
27822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B0TIM(val) bfin_write32(SMC_B0TIM, val)
27922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B0ETIM() bfin_read32(SMC_B0ETIM)
28022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B0ETIM(val) bfin_write32(SMC_B0ETIM, val)
28122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B1CTL() bfin_read32(SMC_B1CTL)
28222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B1CTL(val) bfin_write32(SMC_B1CTL, val)
28322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B1TIM() bfin_read32(SMC_B1TIM)
28422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B1TIM(val) bfin_write32(SMC_B1TIM, val)
28522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B1ETIM() bfin_read32(SMC_B1ETIM)
28622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B1ETIM(val) bfin_write32(SMC_B1ETIM, val)
28722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B2CTL() bfin_read32(SMC_B2CTL)
28822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B2CTL(val) bfin_write32(SMC_B2CTL, val)
28922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B2TIM() bfin_read32(SMC_B2TIM)
29022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B2TIM(val) bfin_write32(SMC_B2TIM, val)
29122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B2ETIM() bfin_read32(SMC_B2ETIM)
29222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B2ETIM(val) bfin_write32(SMC_B2ETIM, val)
29322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B3CTL() bfin_read32(SMC_B3CTL)
29422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B3CTL(val) bfin_write32(SMC_B3CTL, val)
29522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B3TIM() bfin_read32(SMC_B3TIM)
29622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B3TIM(val) bfin_write32(SMC_B3TIM, val)
29722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_SMC_B3ETIM() bfin_read32(SMC_B3ETIM)
29822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_SMC_B3ETIM(val) bfin_write32(SMC_B3ETIM, val)
29922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
30022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DDR2 Memory Control Registers */
3018bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_CFG() bfin_read32(DMC0_CFG)
3028bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_CFG(val) bfin_write32(DMC0_CFG, val)
3038bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_TR0() bfin_read32(DMC0_TR0)
3048bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_TR0(val) bfin_write32(DMC0_TR0, val)
3058bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_TR1() bfin_read32(DMC0_TR1)
3068bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_TR1(val) bfin_write32(DMC0_TR1, val)
3078bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_TR2() bfin_read32(DMC0_TR2)
3088bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_TR2(val) bfin_write32(DMC0_TR2, val)
3098bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_MR() bfin_read32(DMC0_MR)
3108bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_MR(val) bfin_write32(DMC0_MR, val)
3118bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_EMR1() bfin_read32(DMC0_EMR1)
3128bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_EMR1(val) bfin_write32(DMC0_EMR1, val)
3138bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_CTL() bfin_read32(DMC0_CTL)
3148bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_CTL(val) bfin_write32(DMC0_CTL, val)
315c83a917112ccab57044e4c8aede4c0a765c1041cSonic Zhang#define bfin_read_DMC0_EFFCTL() bfin_read32(DMC0_EFFCTL)
316c83a917112ccab57044e4c8aede4c0a765c1041cSonic Zhang#define bfin_write_DMC0_EFFCTL(val) bfin_write32(DMC0_EFFCTL, val)
3178bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_STAT() bfin_read32(DMC0_STAT)
3188bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_STAT(val) bfin_write32(DMC0_STAT, val)
3198bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_read_DMC0_DLLCTL() bfin_read32(DMC0_DLLCTL)
3208bf7135fff46f6ac28baf28a8e4144f685b456a0Sonic Zhang#define bfin_write_DMC0_DLLCTL(val) bfin_write32(DMC0_DLLCTL, val)
32122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
32222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DDR BankRead and Write Count Registers */
32322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
32422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
32522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 0 Registers */
32622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
32722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_NEXT_DESC_PTR() 		bfin_read32(DMA0_NEXT_DESC_PTR)
32822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_NEXT_DESC_PTR(val) 	bfin_write32(DMA0_NEXT_DESC_PTR, val)
32922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_START_ADDR() 		bfin_read32(DMA0_START_ADDR)
33022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_START_ADDR(val) 	bfin_write32(DMA0_START_ADDR, val)
33122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CONFIG()			bfin_read32(DMA0_CONFIG)
33222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CONFIG(val)		bfin_write32(DMA0_CONFIG, val)
33322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_X_COUNT()		bfin_read32(DMA0_X_COUNT)
33422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_X_COUNT(val)		bfin_write32(DMA0_X_COUNT, val)
33522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_X_MODIFY()		bfin_read32(DMA0_X_MODIFY)
33622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_X_MODIFY(val) 		bfin_write32(DMA0_X_MODIFY, val)
33722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_Y_COUNT()		bfin_read32(DMA0_Y_COUNT)
33822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_Y_COUNT(val)		bfin_write32(DMA0_Y_COUNT, val)
33922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_Y_MODIFY()		bfin_read32(DMA0_Y_MODIFY)
34022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_Y_MODIFY(val) 		bfin_write32(DMA0_Y_MODIFY, val)
34122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_DESC_PTR() 		bfin_read32(DMA0_CURR_DESC_PTR)
34222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_DESC_PTR(val) 	bfin_write32(DMA0_CURR_DESC_PTR, val)
34322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_PREV_DESC_PTR() 		bfin_read32(DMA0_PREV_DESC_PTR)
34422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_PREV_DESC_PTR(val) 	bfin_write32(DMA0_PREV_DESC_PTR, val)
34522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_ADDR() 		bfin_read32(DMA0_CURR_ADDR)
34622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_ADDR(val) 		bfin_write32(DMA0_CURR_ADDR, val)
34722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_IRQ_STATUS()		bfin_read32(DMA0_IRQ_STATUS)
34822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_IRQ_STATUS(val)		bfin_write32(DMA0_IRQ_STATUS, val)
34922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_X_COUNT()		bfin_read32(DMA0_CURR_X_COUNT)
35022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_X_COUNT(val)	bfin_write32(DMA0_CURR_X_COUNT, val)
35122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_Y_COUNT()		bfin_read32(DMA0_CURR_Y_COUNT)
35222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_Y_COUNT(val)	bfin_write32(DMA0_CURR_Y_COUNT, val)
35322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_BWL_COUNT()		bfin_read32(DMA0_BWL_COUNT)
35422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_BWL_COUNT(val)		bfin_write32(DMA0_BWL_COUNT, val)
35522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_BWL_COUNT()		bfin_read32(DMA0_CURR_BWL_COUNT)
35622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_BWL_COUNT(val)	bfin_write32(DMA0_CURR_BWL_COUNT, val)
35722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_BWM_COUNT()		bfin_read32(DMA0_BWM_COUNT)
35822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_BWM_COUNT(val)		bfin_write32(DMA0_BWM_COUNT, val)
35922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA0_CURR_BWM_COUNT()		bfin_read32(DMA0_CURR_BWM_COUNT)
36022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA0_CURR_BWM_COUNT(val)	bfin_write32(DMA0_CURR_BWM_COUNT, val)
36122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
36222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 1 Registers */
36322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
36422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_NEXT_DESC_PTR() 		bfin_read32(DMA1_NEXT_DESC_PTR)
36522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_NEXT_DESC_PTR(val) 	bfin_write32(DMA1_NEXT_DESC_PTR, val)
36622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_START_ADDR() 		bfin_read32(DMA1_START_ADDR)
36722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_START_ADDR(val) 	bfin_write32(DMA1_START_ADDR, val)
36822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CONFIG()			bfin_read32(DMA1_CONFIG)
36922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CONFIG(val)		bfin_write32(DMA1_CONFIG, val)
37022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_X_COUNT()		bfin_read32(DMA1_X_COUNT)
37122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_X_COUNT(val)		bfin_write32(DMA1_X_COUNT, val)
37222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_X_MODIFY()		bfin_read32(DMA1_X_MODIFY)
37322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_X_MODIFY(val) 		bfin_write32(DMA1_X_MODIFY, val)
37422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_Y_COUNT()		bfin_read32(DMA1_Y_COUNT)
37522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_Y_COUNT(val)		bfin_write32(DMA1_Y_COUNT, val)
37622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_Y_MODIFY()		bfin_read32(DMA1_Y_MODIFY)
37722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_Y_MODIFY(val) 		bfin_write32(DMA1_Y_MODIFY, val)
37822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_DESC_PTR() 		bfin_read32(DMA1_CURR_DESC_PTR)
37922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_DESC_PTR(val) 	bfin_write32(DMA1_CURR_DESC_PTR, val)
38022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_PREV_DESC_PTR() 		bfin_read32(DMA1_PREV_DESC_PTR)
38122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_PREV_DESC_PTR(val) 	bfin_write32(DMA1_PREV_DESC_PTR, val)
38222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_ADDR() 		bfin_read32(DMA1_CURR_ADDR)
38322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_ADDR(val) 		bfin_write32(DMA1_CURR_ADDR, val)
38422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_IRQ_STATUS()		bfin_read32(DMA1_IRQ_STATUS)
38522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_IRQ_STATUS(val)		bfin_write32(DMA1_IRQ_STATUS, val)
38622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_X_COUNT()		bfin_read32(DMA1_CURR_X_COUNT)
38722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_X_COUNT(val)	bfin_write32(DMA1_CURR_X_COUNT, val)
38822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_Y_COUNT()		bfin_read32(DMA1_CURR_Y_COUNT)
38922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_Y_COUNT(val)	bfin_write32(DMA1_CURR_Y_COUNT, val)
39022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_BWL_COUNT()		bfin_read32(DMA1_BWL_COUNT)
39122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_BWL_COUNT(val)		bfin_write32(DMA1_BWL_COUNT, val)
39222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_BWL_COUNT()		bfin_read32(DMA1_CURR_BWL_COUNT)
39322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_BWL_COUNT(val)	bfin_write32(DMA1_CURR_BWL_COUNT, val)
39422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_BWM_COUNT()		bfin_read32(DMA1_BWM_COUNT)
39522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_BWM_COUNT(val)		bfin_write32(DMA1_BWM_COUNT, val)
39622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA1_CURR_BWM_COUNT()		bfin_read32(DMA1_CURR_BWM_COUNT)
39722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA1_CURR_BWM_COUNT(val)	bfin_write32(DMA1_CURR_BWM_COUNT, val)
39822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
39922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 2 Registers */
40022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
40122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_NEXT_DESC_PTR() 		bfin_read32(DMA2_NEXT_DESC_PTR)
40222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_NEXT_DESC_PTR(val) 	bfin_write32(DMA2_NEXT_DESC_PTR, val)
40322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_START_ADDR() 		bfin_read32(DMA2_START_ADDR)
40422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_START_ADDR(val) 	bfin_write32(DMA2_START_ADDR, val)
40522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CONFIG()			bfin_read32(DMA2_CONFIG)
40622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CONFIG(val)		bfin_write32(DMA2_CONFIG, val)
40722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_X_COUNT()		bfin_read32(DMA2_X_COUNT)
40822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_X_COUNT(val)		bfin_write32(DMA2_X_COUNT, val)
40922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_X_MODIFY()		bfin_read32(DMA2_X_MODIFY)
41022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_X_MODIFY(val) 		bfin_write32(DMA2_X_MODIFY, val)
41122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_Y_COUNT()		bfin_read32(DMA2_Y_COUNT)
41222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_Y_COUNT(val)		bfin_write32(DMA2_Y_COUNT, val)
41322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_Y_MODIFY()		bfin_read32(DMA2_Y_MODIFY)
41422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_Y_MODIFY(val) 		bfin_write32(DMA2_Y_MODIFY, val)
41522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_DESC_PTR() 		bfin_read32(DMA2_CURR_DESC_PTR)
41622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_DESC_PTR(val) 	bfin_write32(DMA2_CURR_DESC_PTR, val)
41722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_PREV_DESC_PTR() 		bfin_read32(DMA2_PREV_DESC_PTR)
41822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_PREV_DESC_PTR(val) 	bfin_write32(DMA2_PREV_DESC_PTR, val)
41922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_ADDR() 		bfin_read32(DMA2_CURR_ADDR)
42022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_ADDR(val) 		bfin_write32(DMA2_CURR_ADDR, val)
42122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_IRQ_STATUS()		bfin_read32(DMA2_IRQ_STATUS)
42222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_IRQ_STATUS(val)		bfin_write32(DMA2_IRQ_STATUS, val)
42322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_X_COUNT()		bfin_read32(DMA2_CURR_X_COUNT)
42422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_X_COUNT(val)	bfin_write32(DMA2_CURR_X_COUNT, val)
42522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_Y_COUNT()		bfin_read32(DMA2_CURR_Y_COUNT)
42622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_Y_COUNT(val)	bfin_write32(DMA2_CURR_Y_COUNT, val)
42722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_BWL_COUNT()		bfin_read32(DMA2_BWL_COUNT)
42822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_BWL_COUNT(val)		bfin_write32(DMA2_BWL_COUNT, val)
42922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_BWL_COUNT()		bfin_read32(DMA2_CURR_BWL_COUNT)
43022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_BWL_COUNT(val)	bfin_write32(DMA2_CURR_BWL_COUNT, val)
43122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_BWM_COUNT()		bfin_read32(DMA2_BWM_COUNT)
43222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_BWM_COUNT(val)		bfin_write32(DMA2_BWM_COUNT, val)
43322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA2_CURR_BWM_COUNT()		bfin_read32(DMA2_CURR_BWM_COUNT)
43422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA2_CURR_BWM_COUNT(val)	bfin_write32(DMA2_CURR_BWM_COUNT, val)
43522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
43622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 3 Registers */
43722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
43822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_NEXT_DESC_PTR() 		bfin_read32(DMA3_NEXT_DESC_PTR)
43922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_NEXT_DESC_PTR(val) 	bfin_write32(DMA3_NEXT_DESC_PTR, val)
44022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_START_ADDR() 		bfin_read32(DMA3_START_ADDR)
44122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_START_ADDR(val) 	bfin_write32(DMA3_START_ADDR, val)
44222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CONFIG()			bfin_read32(DMA3_CONFIG)
44322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CONFIG(val)		bfin_write32(DMA3_CONFIG, val)
44422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_X_COUNT()		bfin_read32(DMA3_X_COUNT)
44522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_X_COUNT(val)		bfin_write32(DMA3_X_COUNT, val)
44622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_X_MODIFY()		bfin_read32(DMA3_X_MODIFY)
44722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_X_MODIFY(val) 		bfin_write32(DMA3_X_MODIFY, val)
44822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_Y_COUNT()		bfin_read32(DMA3_Y_COUNT)
44922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_Y_COUNT(val)		bfin_write32(DMA3_Y_COUNT, val)
45022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_Y_MODIFY()		bfin_read32(DMA3_Y_MODIFY)
45122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_Y_MODIFY(val) 		bfin_write32(DMA3_Y_MODIFY, val)
45222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_DESC_PTR() 		bfin_read32(DMA3_CURR_DESC_PTR)
45322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_DESC_PTR(val) 	bfin_write32(DMA3_CURR_DESC_PTR, val)
45422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_PREV_DESC_PTR() 		bfin_read32(DMA3_PREV_DESC_PTR)
45522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_PREV_DESC_PTR(val) 	bfin_write32(DMA3_PREV_DESC_PTR, val)
45622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_ADDR() 		bfin_read32(DMA3_CURR_ADDR)
45722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_ADDR(val) 		bfin_write32(DMA3_CURR_ADDR, val)
45822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_IRQ_STATUS()		bfin_read32(DMA3_IRQ_STATUS)
45922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_IRQ_STATUS(val)		bfin_write32(DMA3_IRQ_STATUS, val)
46022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_X_COUNT()		bfin_read32(DMA3_CURR_X_COUNT)
46122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_X_COUNT(val)	bfin_write32(DMA3_CURR_X_COUNT, val)
46222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_Y_COUNT()		bfin_read32(DMA3_CURR_Y_COUNT)
46322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_Y_COUNT(val)	bfin_write32(DMA3_CURR_Y_COUNT, val)
46422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_BWL_COUNT()		bfin_read32(DMA3_BWL_COUNT)
46522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_BWL_COUNT(val)		bfin_write32(DMA3_BWL_COUNT, val)
46622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_BWL_COUNT()		bfin_read32(DMA3_CURR_BWL_COUNT)
46722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_BWL_COUNT(val)	bfin_write32(DMA3_CURR_BWL_COUNT, val)
46822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_BWM_COUNT()		bfin_read32(DMA3_BWM_COUNT)
46922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_BWM_COUNT(val)		bfin_write32(DMA3_BWM_COUNT, val)
47022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA3_CURR_BWM_COUNT()		bfin_read32(DMA3_CURR_BWM_COUNT)
47122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA3_CURR_BWM_COUNT(val)	bfin_write32(DMA3_CURR_BWM_COUNT, val)
47222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
47322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 4 Registers */
47422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
47522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_NEXT_DESC_PTR() 		bfin_read32(DMA4_NEXT_DESC_PTR)
47622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_NEXT_DESC_PTR(val) 	bfin_write32(DMA4_NEXT_DESC_PTR, val)
47722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_START_ADDR() 		bfin_read32(DMA4_START_ADDR)
47822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_START_ADDR(val) 	bfin_write32(DMA4_START_ADDR, val)
47922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CONFIG()			bfin_read32(DMA4_CONFIG)
48022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CONFIG(val)		bfin_write32(DMA4_CONFIG, val)
48122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_X_COUNT()		bfin_read32(DMA4_X_COUNT)
48222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_X_COUNT(val)		bfin_write32(DMA4_X_COUNT, val)
48322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_X_MODIFY()		bfin_read32(DMA4_X_MODIFY)
48422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_X_MODIFY(val) 		bfin_write32(DMA4_X_MODIFY, val)
48522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_Y_COUNT()		bfin_read32(DMA4_Y_COUNT)
48622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_Y_COUNT(val)		bfin_write32(DMA4_Y_COUNT, val)
48722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_Y_MODIFY()		bfin_read32(DMA4_Y_MODIFY)
48822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_Y_MODIFY(val) 		bfin_write32(DMA4_Y_MODIFY, val)
48922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_DESC_PTR() 		bfin_read32(DMA4_CURR_DESC_PTR)
49022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_DESC_PTR(val) 	bfin_write32(DMA4_CURR_DESC_PTR, val)
49122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_PREV_DESC_PTR() 		bfin_read32(DMA4_PREV_DESC_PTR)
49222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_PREV_DESC_PTR(val) 	bfin_write32(DMA4_PREV_DESC_PTR, val)
49322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_ADDR() 		bfin_read32(DMA4_CURR_ADDR)
49422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_ADDR(val) 		bfin_write32(DMA4_CURR_ADDR, val)
49522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_IRQ_STATUS()		bfin_read32(DMA4_IRQ_STATUS)
49622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_IRQ_STATUS(val)		bfin_write32(DMA4_IRQ_STATUS, val)
49722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_X_COUNT()		bfin_read32(DMA4_CURR_X_COUNT)
49822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_X_COUNT(val)	bfin_write32(DMA4_CURR_X_COUNT, val)
49922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_Y_COUNT()		bfin_read32(DMA4_CURR_Y_COUNT)
50022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_Y_COUNT(val)	bfin_write32(DMA4_CURR_Y_COUNT, val)
50122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_BWL_COUNT()		bfin_read32(DMA4_BWL_COUNT)
50222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_BWL_COUNT(val)		bfin_write32(DMA4_BWL_COUNT, val)
50322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_BWL_COUNT()		bfin_read32(DMA4_CURR_BWL_COUNT)
50422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_BWL_COUNT(val)	bfin_write32(DMA4_CURR_BWL_COUNT, val)
50522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_BWM_COUNT()		bfin_read32(DMA4_BWM_COUNT)
50622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_BWM_COUNT(val)		bfin_write32(DMA4_BWM_COUNT, val)
50722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA4_CURR_BWM_COUNT()		bfin_read32(DMA4_CURR_BWM_COUNT)
50822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA4_CURR_BWM_COUNT(val)	bfin_write32(DMA4_CURR_BWM_COUNT, val)
50922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
51022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 5 Registers */
51122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
51222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_NEXT_DESC_PTR() 		bfin_read32(DMA5_NEXT_DESC_PTR)
51322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_NEXT_DESC_PTR(val) 	bfin_write32(DMA5_NEXT_DESC_PTR, val)
51422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_START_ADDR() 		bfin_read32(DMA5_START_ADDR)
51522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_START_ADDR(val) 	bfin_write32(DMA5_START_ADDR, val)
51622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CONFIG()			bfin_read32(DMA5_CONFIG)
51722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CONFIG(val)		bfin_write32(DMA5_CONFIG, val)
51822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_X_COUNT()		bfin_read32(DMA5_X_COUNT)
51922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_X_COUNT(val)		bfin_write32(DMA5_X_COUNT, val)
52022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_X_MODIFY()		bfin_read32(DMA5_X_MODIFY)
52122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_X_MODIFY(val) 		bfin_write32(DMA5_X_MODIFY, val)
52222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_Y_COUNT()		bfin_read32(DMA5_Y_COUNT)
52322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_Y_COUNT(val)		bfin_write32(DMA5_Y_COUNT, val)
52422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_Y_MODIFY()		bfin_read32(DMA5_Y_MODIFY)
52522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_Y_MODIFY(val) 		bfin_write32(DMA5_Y_MODIFY, val)
52622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_DESC_PTR() 		bfin_read32(DMA5_CURR_DESC_PTR)
52722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_DESC_PTR(val) 	bfin_write32(DMA5_CURR_DESC_PTR, val)
52822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_PREV_DESC_PTR() 		bfin_read32(DMA5_PREV_DESC_PTR)
52922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_PREV_DESC_PTR(val) 	bfin_write32(DMA5_PREV_DESC_PTR, val)
53022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_ADDR() 		bfin_read32(DMA5_CURR_ADDR)
53122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_ADDR(val) 		bfin_write32(DMA5_CURR_ADDR, val)
53222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_IRQ_STATUS()		bfin_read32(DMA5_IRQ_STATUS)
53322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_IRQ_STATUS(val)		bfin_write32(DMA5_IRQ_STATUS, val)
53422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_X_COUNT()		bfin_read32(DMA5_CURR_X_COUNT)
53522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_X_COUNT(val)	bfin_write32(DMA5_CURR_X_COUNT, val)
53622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_Y_COUNT()		bfin_read32(DMA5_CURR_Y_COUNT)
53722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_Y_COUNT(val)	bfin_write32(DMA5_CURR_Y_COUNT, val)
53822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_BWL_COUNT()		bfin_read32(DMA5_BWL_COUNT)
53922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_BWL_COUNT(val)		bfin_write32(DMA5_BWL_COUNT, val)
54022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_BWL_COUNT()		bfin_read32(DMA5_CURR_BWL_COUNT)
54122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_BWL_COUNT(val)	bfin_write32(DMA5_CURR_BWL_COUNT, val)
54222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_BWM_COUNT()		bfin_read32(DMA5_BWM_COUNT)
54322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_BWM_COUNT(val)		bfin_write32(DMA5_BWM_COUNT, val)
54422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA5_CURR_BWM_COUNT()		bfin_read32(DMA5_CURR_BWM_COUNT)
54522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA5_CURR_BWM_COUNT(val)	bfin_write32(DMA5_CURR_BWM_COUNT, val)
54622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
54722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 6 Registers */
54822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
54922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_NEXT_DESC_PTR() 		bfin_read32(DMA6_NEXT_DESC_PTR)
55022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_NEXT_DESC_PTR(val) 	bfin_write32(DMA6_NEXT_DESC_PTR, val)
55122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_START_ADDR() 		bfin_read32(DMA6_START_ADDR)
55222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_START_ADDR(val) 	bfin_write32(DMA6_START_ADDR, val)
55322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CONFIG()			bfin_read32(DMA6_CONFIG)
55422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CONFIG(val)		bfin_write32(DMA6_CONFIG, val)
55522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_X_COUNT()		bfin_read32(DMA6_X_COUNT)
55622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_X_COUNT(val)		bfin_write32(DMA6_X_COUNT, val)
55722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_X_MODIFY()		bfin_read32(DMA6_X_MODIFY)
55822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_X_MODIFY(val) 		bfin_write32(DMA6_X_MODIFY, val)
55922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_Y_COUNT()		bfin_read32(DMA6_Y_COUNT)
56022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_Y_COUNT(val)		bfin_write32(DMA6_Y_COUNT, val)
56122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_Y_MODIFY()		bfin_read32(DMA6_Y_MODIFY)
56222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_Y_MODIFY(val) 		bfin_write32(DMA6_Y_MODIFY, val)
56322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_DESC_PTR() 		bfin_read32(DMA6_CURR_DESC_PTR)
56422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_DESC_PTR(val) 	bfin_write32(DMA6_CURR_DESC_PTR, val)
56522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_PREV_DESC_PTR() 		bfin_read32(DMA6_PREV_DESC_PTR)
56622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_PREV_DESC_PTR(val) 	bfin_write32(DMA6_PREV_DESC_PTR, val)
56722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_ADDR() 		bfin_read32(DMA6_CURR_ADDR)
56822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_ADDR(val) 		bfin_write32(DMA6_CURR_ADDR, val)
56922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_IRQ_STATUS()		bfin_read32(DMA6_IRQ_STATUS)
57022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_IRQ_STATUS(val)		bfin_write32(DMA6_IRQ_STATUS, val)
57122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_X_COUNT()		bfin_read32(DMA6_CURR_X_COUNT)
57222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_X_COUNT(val)	bfin_write32(DMA6_CURR_X_COUNT, val)
57322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_Y_COUNT()		bfin_read32(DMA6_CURR_Y_COUNT)
57422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_Y_COUNT(val)	bfin_write32(DMA6_CURR_Y_COUNT, val)
57522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_BWL_COUNT()		bfin_read32(DMA6_BWL_COUNT)
57622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_BWL_COUNT(val)		bfin_write32(DMA6_BWL_COUNT, val)
57722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_BWL_COUNT()		bfin_read32(DMA6_CURR_BWL_COUNT)
57822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_BWL_COUNT(val)	bfin_write32(DMA6_CURR_BWL_COUNT, val)
57922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_BWM_COUNT()		bfin_read32(DMA6_BWM_COUNT)
58022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_BWM_COUNT(val)		bfin_write32(DMA6_BWM_COUNT, val)
58122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA6_CURR_BWM_COUNT()		bfin_read32(DMA6_CURR_BWM_COUNT)
58222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA6_CURR_BWM_COUNT(val)	bfin_write32(DMA6_CURR_BWM_COUNT, val)
58322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
58422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 7 Registers */
58522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
58622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_NEXT_DESC_PTR() 		bfin_read32(DMA7_NEXT_DESC_PTR)
58722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_NEXT_DESC_PTR(val) 	bfin_write32(DMA7_NEXT_DESC_PTR, val)
58822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_START_ADDR() 		bfin_read32(DMA7_START_ADDR)
58922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_START_ADDR(val) 	bfin_write32(DMA7_START_ADDR, val)
59022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CONFIG()			bfin_read32(DMA7_CONFIG)
59122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CONFIG(val)		bfin_write32(DMA7_CONFIG, val)
59222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_X_COUNT()		bfin_read32(DMA7_X_COUNT)
59322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_X_COUNT(val)		bfin_write32(DMA7_X_COUNT, val)
59422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_X_MODIFY()		bfin_read32(DMA7_X_MODIFY)
59522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_X_MODIFY(val) 		bfin_write32(DMA7_X_MODIFY, val)
59622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_Y_COUNT()		bfin_read32(DMA7_Y_COUNT)
59722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_Y_COUNT(val)		bfin_write32(DMA7_Y_COUNT, val)
59822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_Y_MODIFY()		bfin_read32(DMA7_Y_MODIFY)
59922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_Y_MODIFY(val) 		bfin_write32(DMA7_Y_MODIFY, val)
60022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_DESC_PTR() 		bfin_read32(DMA7_CURR_DESC_PTR)
60122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_DESC_PTR(val) 	bfin_write32(DMA7_CURR_DESC_PTR, val)
60222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_PREV_DESC_PTR() 		bfin_read32(DMA7_PREV_DESC_PTR)
60322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_PREV_DESC_PTR(val) 	bfin_write32(DMA7_PREV_DESC_PTR, val)
60422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_ADDR() 		bfin_read32(DMA7_CURR_ADDR)
60522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_ADDR(val) 		bfin_write32(DMA7_CURR_ADDR, val)
60622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_IRQ_STATUS()		bfin_read32(DMA7_IRQ_STATUS)
60722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_IRQ_STATUS(val)		bfin_write32(DMA7_IRQ_STATUS, val)
60822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_X_COUNT()		bfin_read32(DMA7_CURR_X_COUNT)
60922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_X_COUNT(val)	bfin_write32(DMA7_CURR_X_COUNT, val)
61022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_Y_COUNT()		bfin_read32(DMA7_CURR_Y_COUNT)
61122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_Y_COUNT(val)	bfin_write32(DMA7_CURR_Y_COUNT, val)
61222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_BWL_COUNT()		bfin_read32(DMA7_BWL_COUNT)
61322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_BWL_COUNT(val)		bfin_write32(DMA7_BWL_COUNT, val)
61422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_BWL_COUNT()		bfin_read32(DMA7_CURR_BWL_COUNT)
61522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_BWL_COUNT(val)	bfin_write32(DMA7_CURR_BWL_COUNT, val)
61622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_BWM_COUNT()		bfin_read32(DMA7_BWM_COUNT)
61722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_BWM_COUNT(val)		bfin_write32(DMA7_BWM_COUNT, val)
61822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA7_CURR_BWM_COUNT()		bfin_read32(DMA7_CURR_BWM_COUNT)
61922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA7_CURR_BWM_COUNT(val)	bfin_write32(DMA7_CURR_BWM_COUNT, val)
62022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
62122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 8 Registers */
62222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
62322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_NEXT_DESC_PTR() 		bfin_read32(DMA8_NEXT_DESC_PTR)
62422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_NEXT_DESC_PTR(val) 	bfin_write32(DMA8_NEXT_DESC_PTR, val)
62522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_START_ADDR() 		bfin_read32(DMA8_START_ADDR)
62622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_START_ADDR(val) 	bfin_write32(DMA8_START_ADDR, val)
62722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CONFIG()			bfin_read32(DMA8_CONFIG)
62822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CONFIG(val)		bfin_write32(DMA8_CONFIG, val)
62922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_X_COUNT()		bfin_read32(DMA8_X_COUNT)
63022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_X_COUNT(val)		bfin_write32(DMA8_X_COUNT, val)
63122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_X_MODIFY()		bfin_read32(DMA8_X_MODIFY)
63222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_X_MODIFY(val) 		bfin_write32(DMA8_X_MODIFY, val)
63322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_Y_COUNT()		bfin_read32(DMA8_Y_COUNT)
63422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_Y_COUNT(val)		bfin_write32(DMA8_Y_COUNT, val)
63522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_Y_MODIFY()		bfin_read32(DMA8_Y_MODIFY)
63622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_Y_MODIFY(val) 		bfin_write32(DMA8_Y_MODIFY, val)
63722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_DESC_PTR() 		bfin_read32(DMA8_CURR_DESC_PTR)
63822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_DESC_PTR(val) 	bfin_write32(DMA8_CURR_DESC_PTR, val)
63922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_PREV_DESC_PTR() 		bfin_read32(DMA8_PREV_DESC_PTR)
64022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_PREV_DESC_PTR(val) 	bfin_write32(DMA8_PREV_DESC_PTR, val)
64122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_ADDR() 		bfin_read32(DMA8_CURR_ADDR)
64222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_ADDR(val) 		bfin_write32(DMA8_CURR_ADDR, val)
64322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_IRQ_STATUS()		bfin_read32(DMA8_IRQ_STATUS)
64422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_IRQ_STATUS(val)		bfin_write32(DMA8_IRQ_STATUS, val)
64522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_X_COUNT()		bfin_read32(DMA8_CURR_X_COUNT)
64622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_X_COUNT(val)	bfin_write32(DMA8_CURR_X_COUNT, val)
64722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_Y_COUNT()		bfin_read32(DMA8_CURR_Y_COUNT)
64822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_Y_COUNT(val)	bfin_write32(DMA8_CURR_Y_COUNT, val)
64922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_BWL_COUNT()		bfin_read32(DMA8_BWL_COUNT)
65022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_BWL_COUNT(val)		bfin_write32(DMA8_BWL_COUNT, val)
65122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_BWL_COUNT()		bfin_read32(DMA8_CURR_BWL_COUNT)
65222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_BWL_COUNT(val)	bfin_write32(DMA8_CURR_BWL_COUNT, val)
65322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_BWM_COUNT()		bfin_read32(DMA8_BWM_COUNT)
65422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_BWM_COUNT(val)		bfin_write32(DMA8_BWM_COUNT, val)
65522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA8_CURR_BWM_COUNT()		bfin_read32(DMA8_CURR_BWM_COUNT)
65622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA8_CURR_BWM_COUNT(val)	bfin_write32(DMA8_CURR_BWM_COUNT, val)
65722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
65822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 9 Registers */
65922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
66022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_NEXT_DESC_PTR() 		bfin_read32(DMA9_NEXT_DESC_PTR)
66122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_NEXT_DESC_PTR(val) 	bfin_write32(DMA9_NEXT_DESC_PTR, val)
66222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_START_ADDR() 		bfin_read32(DMA9_START_ADDR)
66322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_START_ADDR(val) 	bfin_write32(DMA9_START_ADDR, val)
66422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CONFIG()			bfin_read32(DMA9_CONFIG)
66522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CONFIG(val)		bfin_write32(DMA9_CONFIG, val)
66622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_X_COUNT()		bfin_read32(DMA9_X_COUNT)
66722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_X_COUNT(val)		bfin_write32(DMA9_X_COUNT, val)
66822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_X_MODIFY()		bfin_read32(DMA9_X_MODIFY)
66922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_X_MODIFY(val) 		bfin_write32(DMA9_X_MODIFY, val)
67022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_Y_COUNT()		bfin_read32(DMA9_Y_COUNT)
67122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_Y_COUNT(val)		bfin_write32(DMA9_Y_COUNT, val)
67222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_Y_MODIFY()		bfin_read32(DMA9_Y_MODIFY)
67322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_Y_MODIFY(val) 		bfin_write32(DMA9_Y_MODIFY, val)
67422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_DESC_PTR() 		bfin_read32(DMA9_CURR_DESC_PTR)
67522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_DESC_PTR(val) 	bfin_write32(DMA9_CURR_DESC_PTR, val)
67622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_PREV_DESC_PTR() 		bfin_read32(DMA9_PREV_DESC_PTR)
67722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_PREV_DESC_PTR(val) 	bfin_write32(DMA9_PREV_DESC_PTR, val)
67822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_ADDR() 		bfin_read32(DMA9_CURR_ADDR)
67922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_ADDR(val) 		bfin_write32(DMA9_CURR_ADDR, val)
68022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_IRQ_STATUS()		bfin_read32(DMA9_IRQ_STATUS)
68122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_IRQ_STATUS(val)		bfin_write32(DMA9_IRQ_STATUS, val)
68222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_X_COUNT()		bfin_read32(DMA9_CURR_X_COUNT)
68322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_X_COUNT(val)	bfin_write32(DMA9_CURR_X_COUNT, val)
68422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_Y_COUNT()		bfin_read32(DMA9_CURR_Y_COUNT)
68522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_Y_COUNT(val)	bfin_write32(DMA9_CURR_Y_COUNT, val)
68622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_BWL_COUNT()		bfin_read32(DMA9_BWL_COUNT)
68722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_BWL_COUNT(val)		bfin_write32(DMA9_BWL_COUNT, val)
68822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_BWL_COUNT()		bfin_read32(DMA9_CURR_BWL_COUNT)
68922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_BWL_COUNT(val)	bfin_write32(DMA9_CURR_BWL_COUNT, val)
69022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_BWM_COUNT()		bfin_read32(DMA9_BWM_COUNT)
69122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_BWM_COUNT(val)		bfin_write32(DMA9_BWM_COUNT, val)
69222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA9_CURR_BWM_COUNT()		bfin_read32(DMA9_CURR_BWM_COUNT)
69322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA9_CURR_BWM_COUNT(val)	bfin_write32(DMA9_CURR_BWM_COUNT, val)
69422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
69522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 10 Registers */
69622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
69722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_NEXT_DESC_PTR() 	bfin_read32(DMA10_NEXT_DESC_PTR)
69822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_NEXT_DESC_PTR(val) 	bfin_write32(DMA10_NEXT_DESC_PTR, val)
69922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_START_ADDR() 		bfin_read32(DMA10_START_ADDR)
70022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_START_ADDR(val) 	bfin_write32(DMA10_START_ADDR, val)
70122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CONFIG()		bfin_read32(DMA10_CONFIG)
70222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CONFIG(val)		bfin_write32(DMA10_CONFIG, val)
70322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_X_COUNT()		bfin_read32(DMA10_X_COUNT)
70422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_X_COUNT(val)		bfin_write32(DMA10_X_COUNT, val)
70522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_X_MODIFY()		bfin_read32(DMA10_X_MODIFY)
70622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_X_MODIFY(val) 		bfin_write32(DMA10_X_MODIFY, val)
70722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_Y_COUNT()		bfin_read32(DMA10_Y_COUNT)
70822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_Y_COUNT(val)		bfin_write32(DMA10_Y_COUNT, val)
70922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_Y_MODIFY()		bfin_read32(DMA10_Y_MODIFY)
71022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_Y_MODIFY(val) 		bfin_write32(DMA10_Y_MODIFY, val)
71122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_DESC_PTR() 	bfin_read32(DMA10_CURR_DESC_PTR)
71222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_DESC_PTR(val) 	bfin_write32(DMA10_CURR_DESC_PTR, val)
71322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_PREV_DESC_PTR() 	bfin_read32(DMA10_PREV_DESC_PTR)
71422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_PREV_DESC_PTR(val) 	bfin_write32(DMA10_PREV_DESC_PTR, val)
71522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_ADDR() 		bfin_read32(DMA10_CURR_ADDR)
71622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_ADDR(val) 	bfin_write32(DMA10_CURR_ADDR, val)
71722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_IRQ_STATUS()		bfin_read32(DMA10_IRQ_STATUS)
71822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_IRQ_STATUS(val)	bfin_write32(DMA10_IRQ_STATUS, val)
71922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_X_COUNT()		bfin_read32(DMA10_CURR_X_COUNT)
72022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_X_COUNT(val)	bfin_write32(DMA10_CURR_X_COUNT, val)
72122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_Y_COUNT()		bfin_read32(DMA10_CURR_Y_COUNT)
72222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_Y_COUNT(val)	bfin_write32(DMA10_CURR_Y_COUNT, val)
72322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_BWL_COUNT()		bfin_read32(DMA10_BWL_COUNT)
72422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_BWL_COUNT(val)		bfin_write32(DMA10_BWL_COUNT, val)
72522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_BWL_COUNT()	bfin_read32(DMA10_CURR_BWL_COUNT)
72622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_BWL_COUNT(val)	bfin_write32(DMA10_CURR_BWL_COUNT, val)
72722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_BWM_COUNT()		bfin_read32(DMA10_BWM_COUNT)
72822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_BWM_COUNT(val)		bfin_write32(DMA10_BWM_COUNT, val)
72922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA10_CURR_BWM_COUNT()	bfin_read32(DMA10_CURR_BWM_COUNT)
73022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA10_CURR_BWM_COUNT(val)	bfin_write32(DMA10_CURR_BWM_COUNT, val)
73122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
73222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 11 Registers */
73322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
73422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_NEXT_DESC_PTR() 	bfin_read32(DMA11_NEXT_DESC_PTR)
73522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_NEXT_DESC_PTR(val) 	bfin_write32(DMA11_NEXT_DESC_PTR, val)
73622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_START_ADDR() 		bfin_read32(DMA11_START_ADDR)
73722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_START_ADDR(val) 	bfin_write32(DMA11_START_ADDR, val)
73822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CONFIG()		bfin_read32(DMA11_CONFIG)
73922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CONFIG(val)		bfin_write32(DMA11_CONFIG, val)
74022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_X_COUNT()		bfin_read32(DMA11_X_COUNT)
74122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_X_COUNT(val)		bfin_write32(DMA11_X_COUNT, val)
74222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_X_MODIFY()		bfin_read32(DMA11_X_MODIFY)
74322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_X_MODIFY(val) 		bfin_write32(DMA11_X_MODIFY, val)
74422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_Y_COUNT()		bfin_read32(DMA11_Y_COUNT)
74522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_Y_COUNT(val)		bfin_write32(DMA11_Y_COUNT, val)
74622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_Y_MODIFY()		bfin_read32(DMA11_Y_MODIFY)
74722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_Y_MODIFY(val) 		bfin_write32(DMA11_Y_MODIFY, val)
74822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_DESC_PTR() 	bfin_read32(DMA11_CURR_DESC_PTR)
74922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_DESC_PTR(val) 	bfin_write32(DMA11_CURR_DESC_PTR, val)
75022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_PREV_DESC_PTR() 	bfin_read32(DMA11_PREV_DESC_PTR)
75122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_PREV_DESC_PTR(val) 	bfin_write32(DMA11_PREV_DESC_PTR, val)
75222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_ADDR() 		bfin_read32(DMA11_CURR_ADDR)
75322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_ADDR(val) 	bfin_write32(DMA11_CURR_ADDR, val)
75422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_IRQ_STATUS()		bfin_read32(DMA11_IRQ_STATUS)
75522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_IRQ_STATUS(val)	bfin_write32(DMA11_IRQ_STATUS, val)
75622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_X_COUNT()		bfin_read32(DMA11_CURR_X_COUNT)
75722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_X_COUNT(val)	bfin_write32(DMA11_CURR_X_COUNT, val)
75822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_Y_COUNT()		bfin_read32(DMA11_CURR_Y_COUNT)
75922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_Y_COUNT(val)	bfin_write32(DMA11_CURR_Y_COUNT, val)
76022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_BWL_COUNT()		bfin_read32(DMA11_BWL_COUNT)
76122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_BWL_COUNT(val)		bfin_write32(DMA11_BWL_COUNT, val)
76222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_BWL_COUNT()	bfin_read32(DMA11_CURR_BWL_COUNT)
76322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_BWL_COUNT(val)	bfin_write32(DMA11_CURR_BWL_COUNT, val)
76422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_BWM_COUNT()		bfin_read32(DMA11_BWM_COUNT)
76522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_BWM_COUNT(val)		bfin_write32(DMA11_BWM_COUNT, val)
76622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA11_CURR_BWM_COUNT()	bfin_read32(DMA11_CURR_BWM_COUNT)
76722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA11_CURR_BWM_COUNT(val)	bfin_write32(DMA11_CURR_BWM_COUNT, val)
76822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
76922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 12 Registers */
77022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
77122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_NEXT_DESC_PTR() 	bfin_read32(DMA12_NEXT_DESC_PTR)
77222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_NEXT_DESC_PTR(val) 	bfin_write32(DMA12_NEXT_DESC_PTR, val)
77322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_START_ADDR() 		bfin_read32(DMA12_START_ADDR)
77422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_START_ADDR(val) 	bfin_write32(DMA12_START_ADDR, val)
77522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CONFIG()		bfin_read32(DMA12_CONFIG)
77622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CONFIG(val)		bfin_write32(DMA12_CONFIG, val)
77722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_X_COUNT()		bfin_read32(DMA12_X_COUNT)
77822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_X_COUNT(val)		bfin_write32(DMA12_X_COUNT, val)
77922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_X_MODIFY()		bfin_read32(DMA12_X_MODIFY)
78022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_X_MODIFY(val) 		bfin_write32(DMA12_X_MODIFY, val)
78122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_Y_COUNT()		bfin_read32(DMA12_Y_COUNT)
78222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_Y_COUNT(val)		bfin_write32(DMA12_Y_COUNT, val)
78322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_Y_MODIFY()		bfin_read32(DMA12_Y_MODIFY)
78422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_Y_MODIFY(val) 		bfin_write32(DMA12_Y_MODIFY, val)
78522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_DESC_PTR() 	bfin_read32(DMA12_CURR_DESC_PTR)
78622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_DESC_PTR(val) 	bfin_write32(DMA12_CURR_DESC_PTR, val)
78722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_PREV_DESC_PTR() 	bfin_read32(DMA12_PREV_DESC_PTR)
78822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_PREV_DESC_PTR(val) 	bfin_write32(DMA12_PREV_DESC_PTR, val)
78922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_ADDR() 		bfin_read32(DMA12_CURR_ADDR)
79022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_ADDR(val) 	bfin_write32(DMA12_CURR_ADDR, val)
79122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_IRQ_STATUS()		bfin_read32(DMA12_IRQ_STATUS)
79222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_IRQ_STATUS(val)	bfin_write32(DMA12_IRQ_STATUS, val)
79322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_X_COUNT()		bfin_read32(DMA12_CURR_X_COUNT)
79422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_X_COUNT(val)	bfin_write32(DMA12_CURR_X_COUNT, val)
79522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_Y_COUNT()		bfin_read32(DMA12_CURR_Y_COUNT)
79622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_Y_COUNT(val)	bfin_write32(DMA12_CURR_Y_COUNT, val)
79722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_BWL_COUNT()		bfin_read32(DMA12_BWL_COUNT)
79822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_BWL_COUNT(val)		bfin_write32(DMA12_BWL_COUNT, val)
79922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_BWL_COUNT()	bfin_read32(DMA12_CURR_BWL_COUNT)
80022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_BWL_COUNT(val)	bfin_write32(DMA12_CURR_BWL_COUNT, val)
80122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_BWM_COUNT()		bfin_read32(DMA12_BWM_COUNT)
80222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_BWM_COUNT(val)		bfin_write32(DMA12_BWM_COUNT, val)
80322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA12_CURR_BWM_COUNT()	bfin_read32(DMA12_CURR_BWM_COUNT)
80422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA12_CURR_BWM_COUNT(val)	bfin_write32(DMA12_CURR_BWM_COUNT, val)
80522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
80622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 13 Registers */
80722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
80822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_NEXT_DESC_PTR() 	bfin_read32(DMA13_NEXT_DESC_PTR)
80922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_NEXT_DESC_PTR(val) 	bfin_write32(DMA13_NEXT_DESC_PTR, val)
81022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_START_ADDR() 		bfin_read32(DMA13_START_ADDR)
81122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_START_ADDR(val) 	bfin_write32(DMA13_START_ADDR, val)
81222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CONFIG()		bfin_read32(DMA13_CONFIG)
81322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CONFIG(val)		bfin_write32(DMA13_CONFIG, val)
81422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_X_COUNT()		bfin_read32(DMA13_X_COUNT)
81522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_X_COUNT(val)		bfin_write32(DMA13_X_COUNT, val)
81622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_X_MODIFY()		bfin_read32(DMA13_X_MODIFY)
81722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_X_MODIFY(val) 		bfin_write32(DMA13_X_MODIFY, val)
81822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_Y_COUNT()		bfin_read32(DMA13_Y_COUNT)
81922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_Y_COUNT(val)		bfin_write32(DMA13_Y_COUNT, val)
82022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_Y_MODIFY()		bfin_read32(DMA13_Y_MODIFY)
82122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_Y_MODIFY(val) 		bfin_write32(DMA13_Y_MODIFY, val)
82222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_DESC_PTR() 	bfin_read32(DMA13_CURR_DESC_PTR)
82322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_DESC_PTR(val) 	bfin_write32(DMA13_CURR_DESC_PTR, val)
82422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_PREV_DESC_PTR() 	bfin_read32(DMA13_PREV_DESC_PTR)
82522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_PREV_DESC_PTR(val) 	bfin_write32(DMA13_PREV_DESC_PTR, val)
82622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_ADDR() 		bfin_read32(DMA13_CURR_ADDR)
82722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_ADDR(val) 	bfin_write32(DMA13_CURR_ADDR, val)
82822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_IRQ_STATUS()		bfin_read32(DMA13_IRQ_STATUS)
82922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_IRQ_STATUS(val)	bfin_write32(DMA13_IRQ_STATUS, val)
83022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_X_COUNT()		bfin_read32(DMA13_CURR_X_COUNT)
83122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_X_COUNT(val)	bfin_write32(DMA13_CURR_X_COUNT, val)
83222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_Y_COUNT()		bfin_read32(DMA13_CURR_Y_COUNT)
83322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_Y_COUNT(val)	bfin_write32(DMA13_CURR_Y_COUNT, val)
83422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_BWL_COUNT()		bfin_read32(DMA13_BWL_COUNT)
83522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_BWL_COUNT(val)		bfin_write32(DMA13_BWL_COUNT, val)
83622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_BWL_COUNT()	bfin_read32(DMA13_CURR_BWL_COUNT)
83722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_BWL_COUNT(val)	bfin_write32(DMA13_CURR_BWL_COUNT, val)
83822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_BWM_COUNT()		bfin_read32(DMA13_BWM_COUNT)
83922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_BWM_COUNT(val)		bfin_write32(DMA13_BWM_COUNT, val)
84022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA13_CURR_BWM_COUNT()	bfin_read32(DMA13_CURR_BWM_COUNT)
84122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA13_CURR_BWM_COUNT(val)	bfin_write32(DMA13_CURR_BWM_COUNT, val)
84222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
84322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 14 Registers */
84422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
84522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_NEXT_DESC_PTR() 	bfin_read32(DMA14_NEXT_DESC_PTR)
84622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_NEXT_DESC_PTR(val) 	bfin_write32(DMA14_NEXT_DESC_PTR, val)
84722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_START_ADDR() 		bfin_read32(DMA14_START_ADDR)
84822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_START_ADDR(val) 	bfin_write32(DMA14_START_ADDR, val)
84922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CONFIG()		bfin_read32(DMA14_CONFIG)
85022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CONFIG(val)		bfin_write32(DMA14_CONFIG, val)
85122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_X_COUNT()		bfin_read32(DMA14_X_COUNT)
85222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_X_COUNT(val)		bfin_write32(DMA14_X_COUNT, val)
85322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_X_MODIFY()		bfin_read32(DMA14_X_MODIFY)
85422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_X_MODIFY(val) 		bfin_write32(DMA14_X_MODIFY, val)
85522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_Y_COUNT()		bfin_read32(DMA14_Y_COUNT)
85622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_Y_COUNT(val)		bfin_write32(DMA14_Y_COUNT, val)
85722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_Y_MODIFY()		bfin_read32(DMA14_Y_MODIFY)
85822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_Y_MODIFY(val) 		bfin_write32(DMA14_Y_MODIFY, val)
85922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_DESC_PTR() 	bfin_read32(DMA14_CURR_DESC_PTR)
86022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_DESC_PTR(val) 	bfin_write32(DMA14_CURR_DESC_PTR, val)
86122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_PREV_DESC_PTR() 	bfin_read32(DMA14_PREV_DESC_PTR)
86222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_PREV_DESC_PTR(val) 	bfin_write32(DMA14_PREV_DESC_PTR, val)
86322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_ADDR() 		bfin_read32(DMA14_CURR_ADDR)
86422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_ADDR(val) 	bfin_write32(DMA14_CURR_ADDR, val)
86522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_IRQ_STATUS()		bfin_read32(DMA14_IRQ_STATUS)
86622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_IRQ_STATUS(val)	bfin_write32(DMA14_IRQ_STATUS, val)
86722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_X_COUNT()		bfin_read32(DMA14_CURR_X_COUNT)
86822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_X_COUNT(val)	bfin_write32(DMA14_CURR_X_COUNT, val)
86922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_Y_COUNT()		bfin_read32(DMA14_CURR_Y_COUNT)
87022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_Y_COUNT(val)	bfin_write32(DMA14_CURR_Y_COUNT, val)
87122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_BWL_COUNT()		bfin_read32(DMA14_BWL_COUNT)
87222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_BWL_COUNT(val)		bfin_write32(DMA14_BWL_COUNT, val)
87322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_BWL_COUNT()	bfin_read32(DMA14_CURR_BWL_COUNT)
87422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_BWL_COUNT(val)	bfin_write32(DMA14_CURR_BWL_COUNT, val)
87522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_BWM_COUNT()		bfin_read32(DMA14_BWM_COUNT)
87622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_BWM_COUNT(val)		bfin_write32(DMA14_BWM_COUNT, val)
87722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA14_CURR_BWM_COUNT()	bfin_read32(DMA14_CURR_BWM_COUNT)
87822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA14_CURR_BWM_COUNT(val)	bfin_write32(DMA14_CURR_BWM_COUNT, val)
87922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
88022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 15 Registers */
88122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
88222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_NEXT_DESC_PTR() 	bfin_read32(DMA15_NEXT_DESC_PTR)
88322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_NEXT_DESC_PTR(val) 	bfin_write32(DMA15_NEXT_DESC_PTR, val)
88422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_START_ADDR() 		bfin_read32(DMA15_START_ADDR)
88522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_START_ADDR(val) 	bfin_write32(DMA15_START_ADDR, val)
88622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CONFIG()		bfin_read32(DMA15_CONFIG)
88722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CONFIG(val)		bfin_write32(DMA15_CONFIG, val)
88822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_X_COUNT()		bfin_read32(DMA15_X_COUNT)
88922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_X_COUNT(val)		bfin_write32(DMA15_X_COUNT, val)
89022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_X_MODIFY()		bfin_read32(DMA15_X_MODIFY)
89122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_X_MODIFY(val) 		bfin_write32(DMA15_X_MODIFY, val)
89222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_Y_COUNT()		bfin_read32(DMA15_Y_COUNT)
89322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_Y_COUNT(val)		bfin_write32(DMA15_Y_COUNT, val)
89422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_Y_MODIFY()		bfin_read32(DMA15_Y_MODIFY)
89522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_Y_MODIFY(val) 		bfin_write32(DMA15_Y_MODIFY, val)
89622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_DESC_PTR() 	bfin_read32(DMA15_CURR_DESC_PTR)
89722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_DESC_PTR(val) 	bfin_write32(DMA15_CURR_DESC_PTR, val)
89822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_PREV_DESC_PTR() 	bfin_read32(DMA15_PREV_DESC_PTR)
89922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_PREV_DESC_PTR(val) 	bfin_write32(DMA15_PREV_DESC_PTR, val)
90022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_ADDR() 		bfin_read32(DMA15_CURR_ADDR)
90122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_ADDR(val) 	bfin_write32(DMA15_CURR_ADDR, val)
90222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_IRQ_STATUS()		bfin_read32(DMA15_IRQ_STATUS)
90322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_IRQ_STATUS(val)	bfin_write32(DMA15_IRQ_STATUS, val)
90422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_X_COUNT()		bfin_read32(DMA15_CURR_X_COUNT)
90522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_X_COUNT(val)	bfin_write32(DMA15_CURR_X_COUNT, val)
90622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_Y_COUNT()		bfin_read32(DMA15_CURR_Y_COUNT)
90722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_Y_COUNT(val)	bfin_write32(DMA15_CURR_Y_COUNT, val)
90822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_BWL_COUNT()		bfin_read32(DMA15_BWL_COUNT)
90922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_BWL_COUNT(val)		bfin_write32(DMA15_BWL_COUNT, val)
91022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_BWL_COUNT()	bfin_read32(DMA15_CURR_BWL_COUNT)
91122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_BWL_COUNT(val)	bfin_write32(DMA15_CURR_BWL_COUNT, val)
91222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_BWM_COUNT()		bfin_read32(DMA15_BWM_COUNT)
91322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_BWM_COUNT(val)		bfin_write32(DMA15_BWM_COUNT, val)
91422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA15_CURR_BWM_COUNT()	bfin_read32(DMA15_CURR_BWM_COUNT)
91522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA15_CURR_BWM_COUNT(val)	bfin_write32(DMA15_CURR_BWM_COUNT, val)
91622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
91722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 16 Registers */
91822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
91922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_NEXT_DESC_PTR() 	bfin_read32(DMA16_NEXT_DESC_PTR)
92022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_NEXT_DESC_PTR(val) 	bfin_write32(DMA16_NEXT_DESC_PTR, val)
92122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_START_ADDR() 		bfin_read32(DMA16_START_ADDR)
92222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_START_ADDR(val) 	bfin_write32(DMA16_START_ADDR, val)
92322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CONFIG()		bfin_read32(DMA16_CONFIG)
92422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CONFIG(val)		bfin_write32(DMA16_CONFIG, val)
92522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_X_COUNT()		bfin_read32(DMA16_X_COUNT)
92622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_X_COUNT(val)		bfin_write32(DMA16_X_COUNT, val)
92722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_X_MODIFY()		bfin_read32(DMA16_X_MODIFY)
92822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_X_MODIFY(val) 		bfin_write32(DMA16_X_MODIFY, val)
92922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_Y_COUNT()		bfin_read32(DMA16_Y_COUNT)
93022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_Y_COUNT(val)		bfin_write32(DMA16_Y_COUNT, val)
93122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_Y_MODIFY()		bfin_read32(DMA16_Y_MODIFY)
93222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_Y_MODIFY(val) 		bfin_write32(DMA16_Y_MODIFY, val)
93322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_DESC_PTR() 	bfin_read32(DMA16_CURR_DESC_PTR)
93422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_DESC_PTR(val) 	bfin_write32(DMA16_CURR_DESC_PTR, val)
93522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_PREV_DESC_PTR() 	bfin_read32(DMA16_PREV_DESC_PTR)
93622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_PREV_DESC_PTR(val) 	bfin_write32(DMA16_PREV_DESC_PTR, val)
93722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_ADDR() 		bfin_read32(DMA16_CURR_ADDR)
93822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_ADDR(val) 	bfin_write32(DMA16_CURR_ADDR, val)
93922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_IRQ_STATUS()		bfin_read32(DMA16_IRQ_STATUS)
94022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_IRQ_STATUS(val)	bfin_write32(DMA16_IRQ_STATUS, val)
94122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_X_COUNT()		bfin_read32(DMA16_CURR_X_COUNT)
94222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_X_COUNT(val)	bfin_write32(DMA16_CURR_X_COUNT, val)
94322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_Y_COUNT()		bfin_read32(DMA16_CURR_Y_COUNT)
94422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_Y_COUNT(val)	bfin_write32(DMA16_CURR_Y_COUNT, val)
94522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_BWL_COUNT()		bfin_read32(DMA16_BWL_COUNT)
94622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_BWL_COUNT(val)		bfin_write32(DMA16_BWL_COUNT, val)
94722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_BWL_COUNT()	bfin_read32(DMA16_CURR_BWL_COUNT)
94822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_BWL_COUNT(val)	bfin_write32(DMA16_CURR_BWL_COUNT, val)
94922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_BWM_COUNT()		bfin_read32(DMA16_BWM_COUNT)
95022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_BWM_COUNT(val)		bfin_write32(DMA16_BWM_COUNT, val)
95122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA16_CURR_BWM_COUNT()	bfin_read32(DMA16_CURR_BWM_COUNT)
95222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA16_CURR_BWM_COUNT(val)	bfin_write32(DMA16_CURR_BWM_COUNT, val)
95322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
95422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 17 Registers */
95522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
95622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_NEXT_DESC_PTR() 	bfin_read32(DMA17_NEXT_DESC_PTR)
95722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_NEXT_DESC_PTR(val) 	bfin_write32(DMA17_NEXT_DESC_PTR, val)
95822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_START_ADDR() 		bfin_read32(DMA17_START_ADDR)
95922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_START_ADDR(val) 	bfin_write32(DMA17_START_ADDR, val)
96022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CONFIG()		bfin_read32(DMA17_CONFIG)
96122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CONFIG(val)		bfin_write32(DMA17_CONFIG, val)
96222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_X_COUNT()		bfin_read32(DMA17_X_COUNT)
96322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_X_COUNT(val)		bfin_write32(DMA17_X_COUNT, val)
96422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_X_MODIFY()		bfin_read32(DMA17_X_MODIFY)
96522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_X_MODIFY(val) 		bfin_write32(DMA17_X_MODIFY, val)
96622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_Y_COUNT()		bfin_read32(DMA17_Y_COUNT)
96722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_Y_COUNT(val)		bfin_write32(DMA17_Y_COUNT, val)
96822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_Y_MODIFY()		bfin_read32(DMA17_Y_MODIFY)
96922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_Y_MODIFY(val) 		bfin_write32(DMA17_Y_MODIFY, val)
97022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_DESC_PTR() 	bfin_read32(DMA17_CURR_DESC_PTR)
97122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_DESC_PTR(val) 	bfin_write32(DMA17_CURR_DESC_PTR, val)
97222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_PREV_DESC_PTR() 	bfin_read32(DMA17_PREV_DESC_PTR)
97322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_PREV_DESC_PTR(val) 	bfin_write32(DMA17_PREV_DESC_PTR, val)
97422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_ADDR() 		bfin_read32(DMA17_CURR_ADDR)
97522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_ADDR(val) 	bfin_write32(DMA17_CURR_ADDR, val)
97622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_IRQ_STATUS()		bfin_read32(DMA17_IRQ_STATUS)
97722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_IRQ_STATUS(val)	bfin_write32(DMA17_IRQ_STATUS, val)
97822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_X_COUNT()		bfin_read32(DMA17_CURR_X_COUNT)
97922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_X_COUNT(val)	bfin_write32(DMA17_CURR_X_COUNT, val)
98022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_Y_COUNT()		bfin_read32(DMA17_CURR_Y_COUNT)
98122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_Y_COUNT(val)	bfin_write32(DMA17_CURR_Y_COUNT, val)
98222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_BWL_COUNT()		bfin_read32(DMA17_BWL_COUNT)
98322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_BWL_COUNT(val)		bfin_write32(DMA17_BWL_COUNT, val)
98422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_BWL_COUNT()	bfin_read32(DMA17_CURR_BWL_COUNT)
98522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_BWL_COUNT(val)	bfin_write32(DMA17_CURR_BWL_COUNT, val)
98622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_BWM_COUNT()		bfin_read32(DMA17_BWM_COUNT)
98722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_BWM_COUNT(val)		bfin_write32(DMA17_BWM_COUNT, val)
98822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA17_CURR_BWM_COUNT()	bfin_read32(DMA17_CURR_BWM_COUNT)
98922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA17_CURR_BWM_COUNT(val)	bfin_write32(DMA17_CURR_BWM_COUNT, val)
99022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
99122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 18 Registers */
99222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
99322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_NEXT_DESC_PTR() 	bfin_read32(DMA18_NEXT_DESC_PTR)
99422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_NEXT_DESC_PTR(val) 	bfin_write32(DMA18_NEXT_DESC_PTR, val)
99522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_START_ADDR() 		bfin_read32(DMA18_START_ADDR)
99622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_START_ADDR(val) 	bfin_write32(DMA18_START_ADDR, val)
99722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CONFIG()		bfin_read32(DMA18_CONFIG)
99822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CONFIG(val)		bfin_write32(DMA18_CONFIG, val)
99922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_X_COUNT()		bfin_read32(DMA18_X_COUNT)
100022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_X_COUNT(val)		bfin_write32(DMA18_X_COUNT, val)
100122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_X_MODIFY()		bfin_read32(DMA18_X_MODIFY)
100222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_X_MODIFY(val) 		bfin_write32(DMA18_X_MODIFY, val)
100322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_Y_COUNT()		bfin_read32(DMA18_Y_COUNT)
100422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_Y_COUNT(val)		bfin_write32(DMA18_Y_COUNT, val)
100522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_Y_MODIFY()		bfin_read32(DMA18_Y_MODIFY)
100622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_Y_MODIFY(val) 		bfin_write32(DMA18_Y_MODIFY, val)
100722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_DESC_PTR() 	bfin_read32(DMA18_CURR_DESC_PTR)
100822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_DESC_PTR(val) 	bfin_write32(DMA18_CURR_DESC_PTR, val)
100922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_PREV_DESC_PTR() 	bfin_read32(DMA18_PREV_DESC_PTR)
101022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_PREV_DESC_PTR(val) 	bfin_write32(DMA18_PREV_DESC_PTR, val)
101122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_ADDR() 		bfin_read32(DMA18_CURR_ADDR)
101222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_ADDR(val) 	bfin_write32(DMA18_CURR_ADDR, val)
101322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_IRQ_STATUS()		bfin_read32(DMA18_IRQ_STATUS)
101422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_IRQ_STATUS(val)	bfin_write32(DMA18_IRQ_STATUS, val)
101522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_X_COUNT()		bfin_read32(DMA18_CURR_X_COUNT)
101622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_X_COUNT(val)	bfin_write32(DMA18_CURR_X_COUNT, val)
101722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_Y_COUNT()		bfin_read32(DMA18_CURR_Y_COUNT)
101822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_Y_COUNT(val)	bfin_write32(DMA18_CURR_Y_COUNT, val)
101922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_BWL_COUNT()		bfin_read32(DMA18_BWL_COUNT)
102022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_BWL_COUNT(val)		bfin_write32(DMA18_BWL_COUNT, val)
102122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_BWL_COUNT()	bfin_read32(DMA18_CURR_BWL_COUNT)
102222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_BWL_COUNT(val)	bfin_write32(DMA18_CURR_BWL_COUNT, val)
102322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_BWM_COUNT()		bfin_read32(DMA18_BWM_COUNT)
102422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_BWM_COUNT(val)		bfin_write32(DMA18_BWM_COUNT, val)
102522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA18_CURR_BWM_COUNT()	bfin_read32(DMA18_CURR_BWM_COUNT)
102622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA18_CURR_BWM_COUNT(val)	bfin_write32(DMA18_CURR_BWM_COUNT, val)
102722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
102822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 19 Registers */
102922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
103022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_NEXT_DESC_PTR() 	bfin_read32(DMA19_NEXT_DESC_PTR)
103122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_NEXT_DESC_PTR(val) 	bfin_write32(DMA19_NEXT_DESC_PTR, val)
103222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_START_ADDR() 		bfin_read32(DMA19_START_ADDR)
103322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_START_ADDR(val) 	bfin_write32(DMA19_START_ADDR, val)
103422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CONFIG()		bfin_read32(DMA19_CONFIG)
103522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CONFIG(val)		bfin_write32(DMA19_CONFIG, val)
103622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_X_COUNT()		bfin_read32(DMA19_X_COUNT)
103722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_X_COUNT(val)		bfin_write32(DMA19_X_COUNT, val)
103822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_X_MODIFY()		bfin_read32(DMA19_X_MODIFY)
103922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_X_MODIFY(val) 		bfin_write32(DMA19_X_MODIFY, val)
104022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_Y_COUNT()		bfin_read32(DMA19_Y_COUNT)
104122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_Y_COUNT(val)		bfin_write32(DMA19_Y_COUNT, val)
104222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_Y_MODIFY()		bfin_read32(DMA19_Y_MODIFY)
104322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_Y_MODIFY(val) 		bfin_write32(DMA19_Y_MODIFY, val)
104422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_DESC_PTR() 	bfin_read32(DMA19_CURR_DESC_PTR)
104522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_DESC_PTR(val) 	bfin_write32(DMA19_CURR_DESC_PTR, val)
104622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_PREV_DESC_PTR() 	bfin_read32(DMA19_PREV_DESC_PTR)
104722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_PREV_DESC_PTR(val) 	bfin_write32(DMA19_PREV_DESC_PTR, val)
104822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_ADDR() 		bfin_read32(DMA19_CURR_ADDR)
104922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_ADDR(val) 	bfin_write32(DMA19_CURR_ADDR, val)
105022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_IRQ_STATUS()		bfin_read32(DMA19_IRQ_STATUS)
105122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_IRQ_STATUS(val)	bfin_write32(DMA19_IRQ_STATUS, val)
105222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_X_COUNT()		bfin_read32(DMA19_CURR_X_COUNT)
105322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_X_COUNT(val)	bfin_write32(DMA19_CURR_X_COUNT, val)
105422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_Y_COUNT()		bfin_read32(DMA19_CURR_Y_COUNT)
105522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_Y_COUNT(val)	bfin_write32(DMA19_CURR_Y_COUNT, val)
105622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_BWL_COUNT()		bfin_read32(DMA19_BWL_COUNT)
105722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_BWL_COUNT(val)		bfin_write32(DMA19_BWL_COUNT, val)
105822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_BWL_COUNT()	bfin_read32(DMA19_CURR_BWL_COUNT)
105922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_BWL_COUNT(val)	bfin_write32(DMA19_CURR_BWL_COUNT, val)
106022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_BWM_COUNT()		bfin_read32(DMA19_BWM_COUNT)
106122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_BWM_COUNT(val)		bfin_write32(DMA19_BWM_COUNT, val)
106222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA19_CURR_BWM_COUNT()	bfin_read32(DMA19_CURR_BWM_COUNT)
106322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA19_CURR_BWM_COUNT(val)	bfin_write32(DMA19_CURR_BWM_COUNT, val)
106422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
106522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 20 Registers */
106622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
106722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_NEXT_DESC_PTR() 	bfin_read32(DMA20_NEXT_DESC_PTR)
106822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_NEXT_DESC_PTR(val) 	bfin_write32(DMA20_NEXT_DESC_PTR, val)
106922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_START_ADDR() 		bfin_read32(DMA20_START_ADDR)
107022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_START_ADDR(val) 	bfin_write32(DMA20_START_ADDR, val)
107122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CONFIG()		bfin_read32(DMA20_CONFIG)
107222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CONFIG(val)		bfin_write32(DMA20_CONFIG, val)
107322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_X_COUNT()		bfin_read32(DMA20_X_COUNT)
107422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_X_COUNT(val)		bfin_write32(DMA20_X_COUNT, val)
107522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_X_MODIFY()		bfin_read32(DMA20_X_MODIFY)
107622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_X_MODIFY(val) 		bfin_write32(DMA20_X_MODIFY, val)
107722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_Y_COUNT()		bfin_read32(DMA20_Y_COUNT)
107822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_Y_COUNT(val)		bfin_write32(DMA20_Y_COUNT, val)
107922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_Y_MODIFY()		bfin_read32(DMA20_Y_MODIFY)
108022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_Y_MODIFY(val) 		bfin_write32(DMA20_Y_MODIFY, val)
108122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_DESC_PTR() 	bfin_read32(DMA20_CURR_DESC_PTR)
108222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_DESC_PTR(val) 	bfin_write32(DMA20_CURR_DESC_PTR, val)
108322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_PREV_DESC_PTR() 	bfin_read32(DMA20_PREV_DESC_PTR)
108422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_PREV_DESC_PTR(val) 	bfin_write32(DMA20_PREV_DESC_PTR, val)
108522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_ADDR() 		bfin_read32(DMA20_CURR_ADDR)
108622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_ADDR(val) 	bfin_write32(DMA20_CURR_ADDR, val)
108722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_IRQ_STATUS()		bfin_read32(DMA20_IRQ_STATUS)
108822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_IRQ_STATUS(val)	bfin_write32(DMA20_IRQ_STATUS, val)
108922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_X_COUNT()		bfin_read32(DMA20_CURR_X_COUNT)
109022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_X_COUNT(val)	bfin_write32(DMA20_CURR_X_COUNT, val)
109122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_Y_COUNT()		bfin_read32(DMA20_CURR_Y_COUNT)
109222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_Y_COUNT(val)	bfin_write32(DMA20_CURR_Y_COUNT, val)
109322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_BWL_COUNT()		bfin_read32(DMA20_BWL_COUNT)
109422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_BWL_COUNT(val)		bfin_write32(DMA20_BWL_COUNT, val)
109522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_BWL_COUNT()	bfin_read32(DMA20_CURR_BWL_COUNT)
109622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_BWL_COUNT(val)	bfin_write32(DMA20_CURR_BWL_COUNT, val)
109722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_BWM_COUNT()		bfin_read32(DMA20_BWM_COUNT)
109822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_BWM_COUNT(val)		bfin_write32(DMA20_BWM_COUNT, val)
109922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA20_CURR_BWM_COUNT()	bfin_read32(DMA20_CURR_BWM_COUNT)
110022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA20_CURR_BWM_COUNT(val)	bfin_write32(DMA20_CURR_BWM_COUNT, val)
110122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
110222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
110322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* MDMA Stream 0 Registers (DMA Channel 21 and 22) */
110422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
110522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_NEXT_DESC_PTR() 	bfin_read32(MDMA0_DEST_CRC0_NEXT_DESC_PTR)
110622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_NEXT_DESC_PTR(val) 	bfin_write32(MDMA0_DEST_CRC0_NEXT_DESC_PTR, val)
110722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_START_ADDR() 		bfin_read32(MDMA0_DEST_CRC0_START_ADDR)
110822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_START_ADDR(val) 	bfin_write32(MDMA0_DEST_CRC0_START_ADDR, val)
110922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_CONFIG()		bfin_read32(MDMA0_DEST_CRC0_CONFIG)
111022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_CONFIG(val)		bfin_write32(MDMA0_DEST_CRC0_CONFIG, val)
111122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_X_COUNT()		bfin_read32(MDMA0_DEST_CRC0_X_COUNT)
111222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_X_COUNT(val)		bfin_write32(MDMA0_DEST_CRC0_X_COUNT, val)
111322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_X_MODIFY()		bfin_read32(MDMA0_DEST_CRC0_X_MODIFY)
111422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_X_MODIFY(val) 	bfin_write32(MDMA0_DEST_CRC0_X_MODIFY, val)
111522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_Y_COUNT()		bfin_read32(MDMA0_DEST_CRC0_Y_COUNT)
111622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_Y_COUNT(val)		bfin_write32(MDMA0_DEST_CRC0_Y_COUNT, val)
111722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_Y_MODIFY()		bfin_read32(MDMA0_DEST_CRC0_Y_MODIFY)
111822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_Y_MODIFY(val) 	bfin_write32(MDMA0_DEST_CRC0_Y_MODIFY, val)
111922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_CURR_DESC_PTR() 	bfin_read32(MDMA0_DEST_CRC0_CURR_DESC_PTR)
112022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_CURR_DESC_PTR(val) 	bfin_write32(MDMA0_DEST_CRC0_CURR_DESC_PTR, val)
112122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_PREV_DESC_PTR() 	bfin_read32(MDMA0_DEST_CRC0_PREV_DESC_PTR)
112222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_PREV_DESC_PTR(val) 	bfin_write32(MDMA0_DEST_CRC0_PREV_DESC_PTR, val)
112322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_CURR_ADDR() 		bfin_read32(MDMA0_DEST_CRC0_CURR_ADDR)
112422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_CURR_ADDR(val) 	bfin_write32(MDMA0_DEST_CRC0_CURR_ADDR, val)
112522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_IRQ_STATUS()		bfin_read32(MDMA0_DEST_CRC0_IRQ_STATUS)
112622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_IRQ_STATUS(val)	bfin_write32(MDMA0_DEST_CRC0_IRQ_STATUS, val)
112722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_CURR_X_COUNT()	bfin_read32(MDMA0_DEST_CRC0_CURR_X_COUNT)
112822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_CURR_X_COUNT(val)	bfin_write32(MDMA0_DEST_CRC0_CURR_X_COUNT, val)
112922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_DEST_CRC0_CURR_Y_COUNT()	bfin_read32(MDMA0_DEST_CRC0_CURR_Y_COUNT)
113022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_DEST_CRC0_CURR_Y_COUNT(val)	bfin_write32(MDMA0_DEST_CRC0_CURR_Y_COUNT, val)
113122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_NEXT_DESC_PTR() 	bfin_read32(MDMA0_SRC_CRC0_NEXT_DESC_PTR)
113222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_NEXT_DESC_PTR(val) 	bfin_write32(MDMA0_SRC_CRC0_NEXT_DESC_PTR, val)
113322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_START_ADDR() 		bfin_read32(MDMA0_SRC_CRC0_START_ADDR)
113422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_START_ADDR(val) 	bfin_write32(MDMA0_SRC_CRC0_START_ADDR, val)
113522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_CONFIG()		bfin_read32(MDMA0_SRC_CRC0_CONFIG)
113622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_CONFIG(val)		bfin_write32(MDMA0_SRC_CRC0_CONFIG, val)
113722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_X_COUNT()		bfin_read32(MDMA0_SRC_CRC0_X_COUNT)
113822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_X_COUNT(val)		bfin_write32(MDMA0_SRC_CRC0_X_COUNT, val)
113922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_X_MODIFY()		bfin_read32(MDMA0_SRC_CRC0_X_MODIFY)
114022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_X_MODIFY(val) 	bfin_write32(MDMA0_SRC_CRC0_X_MODIFY, val)
114122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_Y_COUNT()		bfin_read32(MDMA0_SRC_CRC0_Y_COUNT)
114222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_Y_COUNT(val)		bfin_write32(MDMA0_SRC_CRC0_Y_COUNT, val)
114322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_Y_MODIFY()		bfin_read32(MDMA0_SRC_CRC0_Y_MODIFY)
114422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_Y_MODIFY(val) 	bfin_write32(MDMA0_SRC_CRC0_Y_MODIFY, val)
114522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_CURR_DESC_PTR() 	bfin_read32(MDMA0_SRC_CRC0_CURR_DESC_PTR)
114622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_CURR_DESC_PTR(val) 	bfin_write32(MDMA0_SRC_CRC0_CURR_DESC_PTR, val)
114722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_PREV_DESC_PTR() 	bfin_read32(MDMA0_SRC_CRC0_PREV_DESC_PTR)
114822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_PREV_DESC_PTR(val) 	bfin_write32(MDMA0_SRC_CRC0_PREV_DESC_PTR, val)
114922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_CURR_ADDR() 		bfin_read32(MDMA0_SRC_CRC0_CURR_ADDR)
115022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_CURR_ADDR(val) 	bfin_write32(MDMA0_SRC_CRC0_CURR_ADDR, val)
115122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_IRQ_STATUS()		bfin_read32(MDMA0_SRC_CRC0_IRQ_STATUS)
115222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_IRQ_STATUS(val)	bfin_write32(MDMA0_SRC_CRC0_IRQ_STATUS, val)
115322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_CURR_X_COUNT()		bfin_read32(MDMA0_SRC_CRC0_CURR_X_COUNT)
115422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_CURR_X_COUNT(val)	bfin_write32(MDMA0_SRC_CRC0_CURR_X_COUNT, val)
115522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA0_SRC_CRC0_CURR_Y_COUNT()		bfin_read32(MDMA0_SRC_CRC0_CURR_Y_COUNT)
115622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA0_SRC_CRC0_CURR_Y_COUNT(val)	bfin_write32(MDMA0_SRC_CRC0_CURR_Y_COUNT, val)
115722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
115822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* MDMA Stream 1 Registers (DMA Channel 23 and 24) */
115922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
116022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_NEXT_DESC_PTR() 	bfin_read32(MDMA1_DEST_CRC1_NEXT_DESC_PTR)
116122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_NEXT_DESC_PTR(val) 	bfin_write32(MDMA1_DEST_CRC1_NEXT_DESC_PTR, val)
116222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_START_ADDR() 		bfin_read32(MDMA1_DEST_CRC1_START_ADDR)
116322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_START_ADDR(val) 	bfin_write32(MDMA1_DEST_CRC1_START_ADDR, val)
116422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_CONFIG()		bfin_read32(MDMA1_DEST_CRC1_CONFIG)
116522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_CONFIG(val)		bfin_write32(MDMA1_DEST_CRC1_CONFIG, val)
116622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_X_COUNT()		bfin_read32(MDMA1_DEST_CRC1_X_COUNT)
116722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_X_COUNT(val)		bfin_write32(MDMA1_DEST_CRC1_X_COUNT, val)
116822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_X_MODIFY()		bfin_read32(MDMA1_DEST_CRC1_X_MODIFY)
116922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_X_MODIFY(val) 	bfin_write32(MDMA1_DEST_CRC1_X_MODIFY, val)
117022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_Y_COUNT()		bfin_read32(MDMA1_DEST_CRC1_Y_COUNT)
117122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_Y_COUNT(val)		bfin_write32(MDMA1_DEST_CRC1_Y_COUNT, val)
117222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_Y_MODIFY()		bfin_read32(MDMA1_DEST_CRC1_Y_MODIFY)
117322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_Y_MODIFY(val) 	bfin_write32(MDMA1_DEST_CRC1_Y_MODIFY, val)
117422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_CURR_DESC_PTR() 	bfin_read32(MDMA1_DEST_CRC1_CURR_DESC_PTR)
117522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_CURR_DESC_PTR(val) 	bfin_write32(MDMA1_DEST_CRC1_CURR_DESC_PTR, val)
117622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_PREV_DESC_PTR() 	bfin_read32(MDMA1_DEST_CRC1_PREV_DESC_PTR)
117722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_PREV_DESC_PTR(val) 	bfin_write32(MDMA1_DEST_CRC1_PREV_DESC_PTR, val)
117822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_CURR_ADDR() 		bfin_read32(MDMA1_DEST_CRC1_CURR_ADDR)
117922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_CURR_ADDR(val) 	bfin_write32(MDMA1_DEST_CRC1_CURR_ADDR, val)
118022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_IRQ_STATUS()		bfin_read32(MDMA1_DEST_CRC1_IRQ_STATUS)
118122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_IRQ_STATUS(val)	bfin_write32(MDMA1_DEST_CRC1_IRQ_STATUS, val)
118222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_CURR_X_COUNT()	bfin_read32(MDMA1_DEST_CRC1_CURR_X_COUNT)
118322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_CURR_X_COUNT(val)	bfin_write32(MDMA1_DEST_CRC1_CURR_X_COUNT, val)
118422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_DEST_CRC1_CURR_Y_COUNT()	bfin_read32(MDMA1_DEST_CRC1_CURR_Y_COUNT)
118522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_DEST_CRC1_CURR_Y_COUNT(val)	bfin_write32(MDMA1_DEST_CRC1_CURR_Y_COUNT, val)
118622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_NEXT_DESC_PTR() 	bfin_read32(MDMA1_SRC_CRC1_NEXT_DESC_PTR)
118722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_NEXT_DESC_PTR(val) 	bfin_write32(MDMA1_SRC_CRC1_NEXT_DESC_PTR, val)
118822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_START_ADDR() 		bfin_read32(MDMA1_SRC_CRC1_START_ADDR)
118922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_START_ADDR(val) 	bfin_write32(MDMA1_SRC_CRC1_START_ADDR, val)
119022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_CONFIG()		bfin_read32(MDMA1_SRC_CRC1_CONFIG)
119122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_CONFIG(val)		bfin_write32(MDMA1_SRC_CRC1_CONFIG, val)
119222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_X_COUNT()		bfin_read32(MDMA1_SRC_CRC1_X_COUNT)
119322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_X_COUNT(val)		bfin_write32(MDMA1_SRC_CRC1_X_COUNT, val)
119422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_X_MODIFY()		bfin_read32(MDMA1_SRC_CRC1_X_MODIFY)
119522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_X_MODIFY(val) 	bfin_write32(MDMA1_SRC_CRC1_X_MODIFY, val)
119622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_Y_COUNT()		bfin_read32(MDMA1_SRC_CRC1_Y_COUNT)
119722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_Y_COUNT(val)		bfin_write32(MDMA1_SRC_CRC1_Y_COUNT, val)
119822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_Y_MODIFY()		bfin_read32(MDMA1_SRC_CRC1_Y_MODIFY)
119922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_Y_MODIFY(val) 	bfin_write32(MDMA1_SRC_CRC1_Y_MODIFY, val)
120022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_CURR_DESC_PTR() 	bfin_read32(MDMA1_SRC_CRC1_CURR_DESC_PTR)
120122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_CURR_DESC_PTR(val) 	bfin_write32(MDMA1_SRC_CRC1_CURR_DESC_PTR, val)
120222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_PREV_DESC_PTR() 	bfin_read32(MDMA1_SRC_CRC1_PREV_DESC_PTR)
120322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_PREV_DESC_PTR(val) 	bfin_write32(MDMA1_SRC_CRC1_PREV_DESC_PTR, val)
120422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_CURR_ADDR() 		bfin_read32(MDMA1_SRC_CRC1_CURR_ADDR)
120522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_CURR_ADDR(val) 	bfin_write32(MDMA1_SRC_CRC1_CURR_ADDR, val)
120622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_IRQ_STATUS()		bfin_read32(MDMA1_SRC_CRC1_IRQ_STATUS)
120722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_IRQ_STATUS(val)	bfin_write32(MDMA1_SRC_CRC1_IRQ_STATUS, val)
120822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_CURR_X_COUNT()		bfin_read32(MDMA1_SRC_CRC1_CURR_X_COUNT)
120922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_CURR_X_COUNT(val)	bfin_write32(MDMA1_SRC_CRC1_CURR_X_COUNT, val)
121022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA1_SRC_CRC1_CURR_Y_COUNT()		bfin_read32(MDMA1_SRC_CRC1_CURR_Y_COUNT)
121122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA1_SRC_CRC1_CURR_Y_COUNT(val)	bfin_write32(MDMA1_SRC_CRC1_CURR_Y_COUNT, val)
121222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
121322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
121422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* MDMA Stream 2 Registers (DMA Channel 25 and 26) */
121522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
121622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_NEXT_DESC_PTR() 		bfin_read32(MDMA2_DEST_NEXT_DESC_PTR)
121722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_NEXT_DESC_PTR(val) 	bfin_write32(MDMA2_DEST_NEXT_DESC_PTR, val)
121822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_START_ADDR() 		bfin_read32(MDMA2_DEST_START_ADDR)
121922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_START_ADDR(val) 		bfin_write32(MDMA2_DEST_START_ADDR, val)
122022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_CONFIG()			bfin_read32(MDMA2_DEST_CONFIG)
122122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_CONFIG(val)		bfin_write32(MDMA2_DEST_CONFIG, val)
122222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_X_COUNT()			bfin_read32(MDMA2_DEST_X_COUNT)
122322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_X_COUNT(val)		bfin_write32(MDMA2_DEST_X_COUNT, val)
122422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_X_MODIFY()			bfin_read32(MDMA2_DEST_X_MODIFY)
122522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_X_MODIFY(val) 		bfin_write32(MDMA2_DEST_X_MODIFY, val)
122622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_Y_COUNT()			bfin_read32(MDMA2_DEST_Y_COUNT)
122722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_Y_COUNT(val)		bfin_write32(MDMA2_DEST_Y_COUNT, val)
122822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_Y_MODIFY()			bfin_read32(MDMA2_DEST_Y_MODIFY)
122922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_Y_MODIFY(val) 		bfin_write32(MDMA2_DEST_Y_MODIFY, val)
123022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_CURR_DESC_PTR() 		bfin_read32(MDMA2_DEST_CURR_DESC_PTR)
123122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_CURR_DESC_PTR(val) 	bfin_write32(MDMA2_DEST_CURR_DESC_PTR, val)
123222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_PREV_DESC_PTR() 		bfin_read32(MDMA2_DEST_PREV_DESC_PTR)
123322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_PREV_DESC_PTR(val) 	bfin_write32(MDMA2_DEST_PREV_DESC_PTR, val)
123422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_CURR_ADDR() 		bfin_read32(MDMA2_DEST_CURR_ADDR)
123522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_CURR_ADDR(val) 		bfin_write32(MDMA2_DEST_CURR_ADDR, val)
123622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_IRQ_STATUS()		bfin_read32(MDMA2_DEST_IRQ_STATUS)
123722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_IRQ_STATUS(val)		bfin_write32(MDMA2_DEST_IRQ_STATUS, val)
123822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_CURR_X_COUNT()		bfin_read32(MDMA2_DEST_CURR_X_COUNT)
123922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_CURR_X_COUNT(val)		bfin_write32(MDMA2_DEST_CURR_X_COUNT, val)
124022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_DEST_CURR_Y_COUNT()		bfin_read32(MDMA2_DEST_CURR_Y_COUNT)
124122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_DEST_CURR_Y_COUNT(val)		bfin_write32(MDMA2_DEST_CURR_Y_COUNT, val)
124222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_NEXT_DESC_PTR() 		bfin_read32(MDMA2_SRC_NEXT_DESC_PTR)
124322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_NEXT_DESC_PTR(val) 	bfin_write32(MDMA2_SRC_NEXT_DESC_PTR, val)
124422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_START_ADDR() 		bfin_read32(MDMA2_SRC_START_ADDR)
124522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_START_ADDR(val) 		bfin_write32(MDMA2_SRC_START_ADDR, val)
124622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_CONFIG()			bfin_read32(MDMA2_SRC_CONFIG)
124722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_CONFIG(val)		bfin_write32(MDMA2_SRC_CONFIG, val)
124822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_X_COUNT()			bfin_read32(MDMA2_SRC_X_COUNT)
124922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_X_COUNT(val)		bfin_write32(MDMA2_SRC_X_COUNT, val)
125022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_X_MODIFY()			bfin_read32(MDMA2_SRC_X_MODIFY)
125122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_X_MODIFY(val) 		bfin_write32(MDMA2_SRC_X_MODIFY, val)
125222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_Y_COUNT()			bfin_read32(MDMA2_SRC_Y_COUNT)
125322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_Y_COUNT(val)		bfin_write32(MDMA2_SRC_Y_COUNT, val)
125422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_Y_MODIFY()			bfin_read32(MDMA2_SRC_Y_MODIFY)
125522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_Y_MODIFY(val) 		bfin_write32(MDMA2_SRC_Y_MODIFY, val)
125622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_CURR_DESC_PTR() 		bfin_read32(MDMA2_SRC_CURR_DESC_PTR)
125722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_CURR_DESC_PTR(val)		bfin_write32(MDMA2_SRC_CURR_DESC_PTR, val)
125822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_PREV_DESC_PTR() 		bfin_read32(MDMA2_SRC_PREV_DESC_PTR)
125922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_PREV_DESC_PTR(val) 	bfin_write32(MDMA2_SRC_PREV_DESC_PTR, val)
126022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_CURR_ADDR() 		bfin_read32(MDMA2_SRC_CURR_ADDR)
126122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_CURR_ADDR(val) 		bfin_write32(MDMA2_SRC_CURR_ADDR, val)
126222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_IRQ_STATUS()		bfin_read32(MDMA2_SRC_IRQ_STATUS)
126322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_IRQ_STATUS(val)		bfin_write32(MDMA2_SRC_IRQ_STATUS, val)
126422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_CURR_X_COUNT()		bfin_read32(MDMA2_SRC_CURR_X_COUNT)
126522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_CURR_X_COUNT(val)		bfin_write32(MDMA2_SRC_CURR_X_COUNT, val)
126622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA2_SRC_CURR_Y_COUNT()		bfin_read32(MDMA2_SRC_CURR_Y_COUNT)
126722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA2_SRC_CURR_Y_COUNT(val)		bfin_write32(MDMA2_SRC_CURR_Y_COUNT, val)
126822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
126922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* MDMA Stream 3 Registers (DMA Channel 27 and 28) */
127022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
127122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_NEXT_DESC_PTR() 		bfin_read32(MDMA3_DEST_NEXT_DESC_PTR)
127222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_NEXT_DESC_PTR(val) 	bfin_write32(MDMA3_DEST_NEXT_DESC_PTR, val)
127322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_START_ADDR() 		bfin_read32(MDMA3_DEST_START_ADDR)
127422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_START_ADDR(val) 		bfin_write32(MDMA3_DEST_START_ADDR, val)
127522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_CONFIG()			bfin_read32(MDMA3_DEST_CONFIG)
127622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_CONFIG(val)		bfin_write32(MDMA3_DEST_CONFIG, val)
127722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_X_COUNT()			bfin_read32(MDMA3_DEST_X_COUNT)
127822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_X_COUNT(val)		bfin_write32(MDMA3_DEST_X_COUNT, val)
127922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_X_MODIFY()			bfin_read32(MDMA3_DEST_X_MODIFY)
128022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_X_MODIFY(val) 		bfin_write32(MDMA3_DEST_X_MODIFY, val)
128122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_Y_COUNT()			bfin_read32(MDMA3_DEST_Y_COUNT)
128222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_Y_COUNT(val)		bfin_write32(MDMA3_DEST_Y_COUNT, val)
128322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_Y_MODIFY()			bfin_read32(MDMA3_DEST_Y_MODIFY)
128422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_Y_MODIFY(val) 		bfin_write32(MDMA3_DEST_Y_MODIFY, val)
128522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_CURR_DESC_PTR() 		bfin_read32(MDMA3_DEST_CURR_DESC_PTR)
128622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_CURR_DESC_PTR(val) 	bfin_write32(MDMA3_DEST_CURR_DESC_PTR, val)
128722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_PREV_DESC_PTR()	 	bfin_read32(MDMA3_DEST_PREV_DESC_PTR)
128822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_PREV_DESC_PTR(val) 	bfin_write32(MDMA3_DEST_PREV_DESC_PTR, val)
128922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_CURR_ADDR() 		bfin_read32(MDMA3_DEST_CURR_ADDR)
129022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_CURR_ADDR(val) 		bfin_write32(MDMA3_DEST_CURR_ADDR, val)
129122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_IRQ_STATUS()		bfin_read32(MDMA3_DEST_IRQ_STATUS)
129222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_IRQ_STATUS(val)		bfin_write32(MDMA3_DEST_IRQ_STATUS, val)
129322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_CURR_X_COUNT()		bfin_read32(MDMA3_DEST_CURR_X_COUNT)
129422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_CURR_X_COUNT(val)		bfin_write32(MDMA3_DEST_CURR_X_COUNT, val)
129522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_DEST_CURR_Y_COUNT()		bfin_read32(MDMA3_DEST_CURR_Y_COUNT)
129622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_DEST_CURR_Y_COUNT(val)		bfin_write32(MDMA3_DEST_CURR_Y_COUNT, val)
129722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_NEXT_DESC_PTR() 		bfin_read32(MDMA3_SRC_NEXT_DESC_PTR)
129822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_NEXT_DESC_PTR(val) 	bfin_write32(MDMA3_SRC_NEXT_DESC_PTR, val)
129922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_START_ADDR() 		bfin_read32(MDMA3_SRC_START_ADDR)
130022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_START_ADDR(val) 		bfin_write32(MDMA3_SRC_START_ADDR, val)
130122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_CONFIG()			bfin_read32(MDMA3_SRC_CONFIG)
130222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_CONFIG(val)		bfin_write32(MDMA3_SRC_CONFIG, val)
130322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_X_COUNT()			bfin_read32(MDMA3_SRC_X_COUNT)
130422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_X_COUNT(val)		bfin_write32(MDMA3_SRC_X_COUNT, val)
130522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_X_MODIFY()			bfin_read32(MDMA3_SRC_X_MODIFY)
130622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_X_MODIFY(val) 		bfin_write32(MDMA3_SRC_X_MODIFY, val)
130722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_Y_COUNT()			bfin_read32(MDMA3_SRC_Y_COUNT)
130822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_Y_COUNT(val)		bfin_write32(MDMA3_SRC_Y_COUNT, val)
130922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_Y_MODIFY()			bfin_read32(MDMA3_SRC_Y_MODIFY)
131022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_Y_MODIFY(val) 		bfin_write32(MDMA3_SRC_Y_MODIFY, val)
131122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_CURR_DESC_PTR() 		bfin_read32(MDMA3_SRC_CURR_DESC_PTR)
131222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_CURR_DESC_PTR(val) 	bfin_write32(MDMA3_SRC_CURR_DESC_PTR, val)
131322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_PREV_DESC_PTR() 		bfin_read32(MDMA3_SRC_PREV_DESC_PTR)
131422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_PREV_DESC_PTR(val) 	bfin_write32(MDMA3_SRC_PREV_DESC_PTR, val)
131522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_CURR_ADDR() 		bfin_read32(MDMA3_SRC_CURR_ADDR)
131622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_CURR_ADDR(val) 		bfin_write32(MDMA3_SRC_CURR_ADDR, val)
131722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_IRQ_STATUS()		bfin_read32(MDMA3_SRC_IRQ_STATUS)
131822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_IRQ_STATUS(val)		bfin_write32(MDMA3_SRC_IRQ_STATUS, val)
131922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_CURR_X_COUNT()		bfin_read32(MDMA3_SRC_CURR_X_COUNT)
132022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_CURR_X_COUNT(val)		bfin_write32(MDMA3_SRC_CURR_X_COUNT, val)
132122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_MDMA3_SRC_CURR_Y_COUNT()		bfin_read32(MDMA3_SRC_CURR_Y_COUNT)
132222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_MDMA3_SRC_CURR_Y_COUNT(val)		bfin_write32(MDMA3_SRC_CURR_Y_COUNT, val)
132322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
132422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
132522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 29 Registers */
132622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
132722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_NEXT_DESC_PTR() 	bfin_read32(DMA29_NEXT_DESC_PTR)
132822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_NEXT_DESC_PTR(val) 	bfin_write32(DMA29_NEXT_DESC_PTR, val)
132922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_START_ADDR() 		bfin_read32(DMA29_START_ADDR)
133022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_START_ADDR(val) 	bfin_write32(DMA29_START_ADDR, val)
133122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CONFIG()		bfin_read32(DMA29_CONFIG)
133222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CONFIG(val)		bfin_write32(DMA29_CONFIG, val)
133322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_X_COUNT()		bfin_read32(DMA29_X_COUNT)
133422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_X_COUNT(val)		bfin_write32(DMA29_X_COUNT, val)
133522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_X_MODIFY()		bfin_read32(DMA29_X_MODIFY)
133622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_X_MODIFY(val) 		bfin_write32(DMA29_X_MODIFY, val)
133722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_Y_COUNT()		bfin_read32(DMA29_Y_COUNT)
133822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_Y_COUNT(val)		bfin_write32(DMA29_Y_COUNT, val)
133922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_Y_MODIFY()		bfin_read32(DMA29_Y_MODIFY)
134022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_Y_MODIFY(val) 		bfin_write32(DMA29_Y_MODIFY, val)
134122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_DESC_PTR() 	bfin_read32(DMA29_CURR_DESC_PTR)
134222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_DESC_PTR(val) 	bfin_write32(DMA29_CURR_DESC_PTR, val)
134322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_PREV_DESC_PTR() 	bfin_read32(DMA29_PREV_DESC_PTR)
134422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_PREV_DESC_PTR(val) 	bfin_write32(DMA29_PREV_DESC_PTR, val)
134522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_ADDR() 		bfin_read32(DMA29_CURR_ADDR)
134622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_ADDR(val) 	bfin_write32(DMA29_CURR_ADDR, val)
134722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_IRQ_STATUS()		bfin_read32(DMA29_IRQ_STATUS)
134822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_IRQ_STATUS(val)	bfin_write32(DMA29_IRQ_STATUS, val)
134922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_X_COUNT()		bfin_read32(DMA29_CURR_X_COUNT)
135022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_X_COUNT(val)	bfin_write32(DMA29_CURR_X_COUNT, val)
135122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_Y_COUNT()		bfin_read32(DMA29_CURR_Y_COUNT)
135222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_Y_COUNT(val)	bfin_write32(DMA29_CURR_Y_COUNT, val)
135322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_BWL_COUNT()		bfin_read32(DMA29_BWL_COUNT)
135422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_BWL_COUNT(val)		bfin_write32(DMA29_BWL_COUNT, val)
135522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_BWL_COUNT()	bfin_read32(DMA29_CURR_BWL_COUNT)
135622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_BWL_COUNT(val)	bfin_write32(DMA29_CURR_BWL_COUNT, val)
135722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_BWM_COUNT()		bfin_read32(DMA29_BWM_COUNT)
135822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_BWM_COUNT(val)		bfin_write32(DMA29_BWM_COUNT, val)
135922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA29_CURR_BWM_COUNT()	bfin_read32(DMA29_CURR_BWM_COUNT)
136022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA29_CURR_BWM_COUNT(val)	bfin_write32(DMA29_CURR_BWM_COUNT, val)
136122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
136222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 30 Registers */
136322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
136422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_NEXT_DESC_PTR() 	bfin_read32(DMA30_NEXT_DESC_PTR)
136522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_NEXT_DESC_PTR(val) 	bfin_write32(DMA30_NEXT_DESC_PTR, val)
136622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_START_ADDR() 		bfin_read32(DMA30_START_ADDR)
136722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_START_ADDR(val) 	bfin_write32(DMA30_START_ADDR, val)
136822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CONFIG()		bfin_read32(DMA30_CONFIG)
136922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CONFIG(val)		bfin_write32(DMA30_CONFIG, val)
137022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_X_COUNT()		bfin_read32(DMA30_X_COUNT)
137122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_X_COUNT(val)		bfin_write32(DMA30_X_COUNT, val)
137222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_X_MODIFY()		bfin_read32(DMA30_X_MODIFY)
137322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_X_MODIFY(val) 		bfin_write32(DMA30_X_MODIFY, val)
137422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_Y_COUNT()		bfin_read32(DMA30_Y_COUNT)
137522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_Y_COUNT(val)		bfin_write32(DMA30_Y_COUNT, val)
137622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_Y_MODIFY()		bfin_read32(DMA30_Y_MODIFY)
137722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_Y_MODIFY(val) 		bfin_write32(DMA30_Y_MODIFY, val)
137822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_DESC_PTR() 	bfin_read32(DMA30_CURR_DESC_PTR)
137922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_DESC_PTR(val) 	bfin_write32(DMA30_CURR_DESC_PTR, val)
138022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_PREV_DESC_PTR() 	bfin_read32(DMA30_PREV_DESC_PTR)
138122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_PREV_DESC_PTR(val) 	bfin_write32(DMA30_PREV_DESC_PTR, val)
138222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_ADDR() 		bfin_read32(DMA30_CURR_ADDR)
138322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_ADDR(val) 	bfin_write32(DMA30_CURR_ADDR, val)
138422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_IRQ_STATUS()		bfin_read32(DMA30_IRQ_STATUS)
138522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_IRQ_STATUS(val)	bfin_write32(DMA30_IRQ_STATUS, val)
138622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_X_COUNT()		bfin_read32(DMA30_CURR_X_COUNT)
138722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_X_COUNT(val)	bfin_write32(DMA30_CURR_X_COUNT, val)
138822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_Y_COUNT()		bfin_read32(DMA30_CURR_Y_COUNT)
138922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_Y_COUNT(val)	bfin_write32(DMA30_CURR_Y_COUNT, val)
139022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_BWL_COUNT()		bfin_read32(DMA30_BWL_COUNT)
139122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_BWL_COUNT(val)		bfin_write32(DMA30_BWL_COUNT, val)
139222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_BWL_COUNT()	bfin_read32(DMA30_CURR_BWL_COUNT)
139322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_BWL_COUNT(val)	bfin_write32(DMA30_CURR_BWL_COUNT, val)
139422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_BWM_COUNT()		bfin_read32(DMA30_BWM_COUNT)
139522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_BWM_COUNT(val)		bfin_write32(DMA30_BWM_COUNT, val)
139622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA30_CURR_BWM_COUNT()	bfin_read32(DMA30_CURR_BWM_COUNT)
139722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA30_CURR_BWM_COUNT(val)	bfin_write32(DMA30_CURR_BWM_COUNT, val)
139822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
139922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 31 Registers */
140022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
140122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_NEXT_DESC_PTR() 	bfin_read32(DMA31_NEXT_DESC_PTR)
140222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_NEXT_DESC_PTR(val) 	bfin_write32(DMA31_NEXT_DESC_PTR, val)
140322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_START_ADDR() 		bfin_read32(DMA31_START_ADDR)
140422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_START_ADDR(val) 	bfin_write32(DMA31_START_ADDR, val)
140522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CONFIG()		bfin_read32(DMA31_CONFIG)
140622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CONFIG(val)		bfin_write32(DMA31_CONFIG, val)
140722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_X_COUNT()		bfin_read32(DMA31_X_COUNT)
140822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_X_COUNT(val)		bfin_write32(DMA31_X_COUNT, val)
140922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_X_MODIFY()		bfin_read32(DMA31_X_MODIFY)
141022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_X_MODIFY(val) 		bfin_write32(DMA31_X_MODIFY, val)
141122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_Y_COUNT()		bfin_read32(DMA31_Y_COUNT)
141222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_Y_COUNT(val)		bfin_write32(DMA31_Y_COUNT, val)
141322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_Y_MODIFY()		bfin_read32(DMA31_Y_MODIFY)
141422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_Y_MODIFY(val) 		bfin_write32(DMA31_Y_MODIFY, val)
141522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_DESC_PTR() 	bfin_read32(DMA31_CURR_DESC_PTR)
141622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_DESC_PTR(val) 	bfin_write32(DMA31_CURR_DESC_PTR, val)
141722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_PREV_DESC_PTR() 	bfin_read32(DMA31_PREV_DESC_PTR)
141822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_PREV_DESC_PTR(val) 	bfin_write32(DMA31_PREV_DESC_PTR, val)
141922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_ADDR() 		bfin_read32(DMA31_CURR_ADDR)
142022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_ADDR(val) 	bfin_write32(DMA31_CURR_ADDR, val)
142122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_IRQ_STATUS()		bfin_read32(DMA31_IRQ_STATUS)
142222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_IRQ_STATUS(val)	bfin_write32(DMA31_IRQ_STATUS, val)
142322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_X_COUNT()		bfin_read32(DMA31_CURR_X_COUNT)
142422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_X_COUNT(val)	bfin_write32(DMA31_CURR_X_COUNT, val)
142522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_Y_COUNT()		bfin_read32(DMA31_CURR_Y_COUNT)
142622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_Y_COUNT(val)	bfin_write32(DMA31_CURR_Y_COUNT, val)
142722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_BWL_COUNT()		bfin_read32(DMA31_BWL_COUNT)
142822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_BWL_COUNT(val)		bfin_write32(DMA31_BWL_COUNT, val)
142922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_BWL_COUNT()	bfin_read32(DMA31_CURR_BWL_COUNT)
143022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_BWL_COUNT(val)	bfin_write32(DMA31_CURR_BWL_COUNT, val)
143122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_BWM_COUNT()		bfin_read32(DMA31_BWM_COUNT)
143222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_BWM_COUNT(val)		bfin_write32(DMA31_BWM_COUNT, val)
143322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA31_CURR_BWM_COUNT()	bfin_read32(DMA31_CURR_BWM_COUNT)
143422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA31_CURR_BWM_COUNT(val)	bfin_write32(DMA31_CURR_BWM_COUNT, val)
143522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
143622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 32 Registers */
143722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
143822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_NEXT_DESC_PTR() 	bfin_read32(DMA32_NEXT_DESC_PTR)
143922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_NEXT_DESC_PTR(val) 	bfin_write32(DMA32_NEXT_DESC_PTR, val)
144022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_START_ADDR() 		bfin_read32(DMA32_START_ADDR)
144122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_START_ADDR(val) 	bfin_write32(DMA32_START_ADDR, val)
144222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CONFIG()		bfin_read32(DMA32_CONFIG)
144322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CONFIG(val)		bfin_write32(DMA32_CONFIG, val)
144422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_X_COUNT()		bfin_read32(DMA32_X_COUNT)
144522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_X_COUNT(val)		bfin_write32(DMA32_X_COUNT, val)
144622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_X_MODIFY()		bfin_read32(DMA32_X_MODIFY)
144722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_X_MODIFY(val) 		bfin_write32(DMA32_X_MODIFY, val)
144822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_Y_COUNT()		bfin_read32(DMA32_Y_COUNT)
144922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_Y_COUNT(val)		bfin_write32(DMA32_Y_COUNT, val)
145022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_Y_MODIFY()		bfin_read32(DMA32_Y_MODIFY)
145122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_Y_MODIFY(val) 		bfin_write32(DMA32_Y_MODIFY, val)
145222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_DESC_PTR() 	bfin_read32(DMA32_CURR_DESC_PTR)
145322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_DESC_PTR(val) 	bfin_write32(DMA32_CURR_DESC_PTR, val)
145422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_PREV_DESC_PTR() 	bfin_read32(DMA32_PREV_DESC_PTR)
145522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_PREV_DESC_PTR(val) 	bfin_write32(DMA32_PREV_DESC_PTR, val)
145622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_ADDR() 		bfin_read32(DMA32_CURR_ADDR)
145722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_ADDR(val) 	bfin_write32(DMA32_CURR_ADDR, val)
145822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_IRQ_STATUS()		bfin_read32(DMA32_IRQ_STATUS)
145922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_IRQ_STATUS(val)	bfin_write32(DMA32_IRQ_STATUS, val)
146022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_X_COUNT()		bfin_read32(DMA32_CURR_X_COUNT)
146122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_X_COUNT(val)	bfin_write32(DMA32_CURR_X_COUNT, val)
146222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_Y_COUNT()		bfin_read32(DMA32_CURR_Y_COUNT)
146322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_Y_COUNT(val)	bfin_write32(DMA32_CURR_Y_COUNT, val)
146422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_BWL_COUNT()		bfin_read32(DMA32_BWL_COUNT)
146522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_BWL_COUNT(val)		bfin_write32(DMA32_BWL_COUNT, val)
146622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_BWL_COUNT()	bfin_read32(DMA32_CURR_BWL_COUNT)
146722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_BWL_COUNT(val)	bfin_write32(DMA32_CURR_BWL_COUNT, val)
146822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_BWM_COUNT()		bfin_read32(DMA32_BWM_COUNT)
146922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_BWM_COUNT(val)		bfin_write32(DMA32_BWM_COUNT, val)
147022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA32_CURR_BWM_COUNT()	bfin_read32(DMA32_CURR_BWM_COUNT)
147122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA32_CURR_BWM_COUNT(val)	bfin_write32(DMA32_CURR_BWM_COUNT, val)
147222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
147322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 33 Registers */
147422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
147522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_NEXT_DESC_PTR() 	bfin_read32(DMA33_NEXT_DESC_PTR)
147622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_NEXT_DESC_PTR(val) 	bfin_write32(DMA33_NEXT_DESC_PTR, val)
147722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_START_ADDR() 		bfin_read32(DMA33_START_ADDR)
147822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_START_ADDR(val) 	bfin_write32(DMA33_START_ADDR, val)
147922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CONFIG()		bfin_read32(DMA33_CONFIG)
148022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CONFIG(val)		bfin_write32(DMA33_CONFIG, val)
148122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_X_COUNT()		bfin_read32(DMA33_X_COUNT)
148222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_X_COUNT(val)		bfin_write32(DMA33_X_COUNT, val)
148322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_X_MODIFY()		bfin_read32(DMA33_X_MODIFY)
148422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_X_MODIFY(val) 		bfin_write32(DMA33_X_MODIFY, val)
148522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_Y_COUNT()		bfin_read32(DMA33_Y_COUNT)
148622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_Y_COUNT(val)		bfin_write32(DMA33_Y_COUNT, val)
148722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_Y_MODIFY()		bfin_read32(DMA33_Y_MODIFY)
148822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_Y_MODIFY(val) 		bfin_write32(DMA33_Y_MODIFY, val)
148922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_DESC_PTR() 	bfin_read32(DMA33_CURR_DESC_PTR)
149022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_DESC_PTR(val) 	bfin_write32(DMA33_CURR_DESC_PTR, val)
149122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_PREV_DESC_PTR() 	bfin_read32(DMA33_PREV_DESC_PTR)
149222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_PREV_DESC_PTR(val) 	bfin_write32(DMA33_PREV_DESC_PTR, val)
149322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_ADDR() 		bfin_read32(DMA33_CURR_ADDR)
149422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_ADDR(val) 	bfin_write32(DMA33_CURR_ADDR, val)
149522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_IRQ_STATUS()		bfin_read32(DMA33_IRQ_STATUS)
149622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_IRQ_STATUS(val)	bfin_write32(DMA33_IRQ_STATUS, val)
149722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_X_COUNT()		bfin_read32(DMA33_CURR_X_COUNT)
149822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_X_COUNT(val)	bfin_write32(DMA33_CURR_X_COUNT, val)
149922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_Y_COUNT()		bfin_read32(DMA33_CURR_Y_COUNT)
150022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_Y_COUNT(val)	bfin_write32(DMA33_CURR_Y_COUNT, val)
150122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_BWL_COUNT()		bfin_read32(DMA33_BWL_COUNT)
150222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_BWL_COUNT(val)		bfin_write32(DMA33_BWL_COUNT, val)
150322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_BWL_COUNT()	bfin_read32(DMA33_CURR_BWL_COUNT)
150422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_BWL_COUNT(val)	bfin_write32(DMA33_CURR_BWL_COUNT, val)
150522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_BWM_COUNT()		bfin_read32(DMA33_BWM_COUNT)
150622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_BWM_COUNT(val)		bfin_write32(DMA33_BWM_COUNT, val)
150722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA33_CURR_BWM_COUNT()	bfin_read32(DMA33_CURR_BWM_COUNT)
150822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA33_CURR_BWM_COUNT(val)	bfin_write32(DMA33_CURR_BWM_COUNT, val)
150922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
151022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 34 Registers */
151122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
151222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_NEXT_DESC_PTR() 	bfin_read32(DMA34_NEXT_DESC_PTR)
151322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_NEXT_DESC_PTR(val) 	bfin_write32(DMA34_NEXT_DESC_PTR, val)
151422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_START_ADDR() 		bfin_read32(DMA34_START_ADDR)
151522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_START_ADDR(val) 	bfin_write32(DMA34_START_ADDR, val)
151622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CONFIG()		bfin_read32(DMA34_CONFIG)
151722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CONFIG(val)		bfin_write32(DMA34_CONFIG, val)
151822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_X_COUNT()		bfin_read32(DMA34_X_COUNT)
151922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_X_COUNT(val)		bfin_write32(DMA34_X_COUNT, val)
152022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_X_MODIFY()		bfin_read32(DMA34_X_MODIFY)
152122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_X_MODIFY(val) 		bfin_write32(DMA34_X_MODIFY, val)
152222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_Y_COUNT()		bfin_read32(DMA34_Y_COUNT)
152322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_Y_COUNT(val)		bfin_write32(DMA34_Y_COUNT, val)
152422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_Y_MODIFY()		bfin_read32(DMA34_Y_MODIFY)
152522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_Y_MODIFY(val) 		bfin_write32(DMA34_Y_MODIFY, val)
152622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_DESC_PTR() 	bfin_read32(DMA34_CURR_DESC_PTR)
152722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_DESC_PTR(val) 	bfin_write32(DMA34_CURR_DESC_PTR, val)
152822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_PREV_DESC_PTR() 	bfin_read32(DMA34_PREV_DESC_PTR)
152922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_PREV_DESC_PTR(val) 	bfin_write32(DMA34_PREV_DESC_PTR, val)
153022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_ADDR() 		bfin_read32(DMA34_CURR_ADDR)
153122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_ADDR(val) 	bfin_write32(DMA34_CURR_ADDR, val)
153222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_IRQ_STATUS()		bfin_read32(DMA34_IRQ_STATUS)
153322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_IRQ_STATUS(val)	bfin_write32(DMA34_IRQ_STATUS, val)
153422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_X_COUNT()		bfin_read32(DMA34_CURR_X_COUNT)
153522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_X_COUNT(val)	bfin_write32(DMA34_CURR_X_COUNT, val)
153622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_Y_COUNT()		bfin_read32(DMA34_CURR_Y_COUNT)
153722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_Y_COUNT(val)	bfin_write32(DMA34_CURR_Y_COUNT, val)
153822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_BWL_COUNT()		bfin_read32(DMA34_BWL_COUNT)
153922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_BWL_COUNT(val)		bfin_write32(DMA34_BWL_COUNT, val)
154022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_BWL_COUNT()	bfin_read32(DMA34_CURR_BWL_COUNT)
154122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_BWL_COUNT(val)	bfin_write32(DMA34_CURR_BWL_COUNT, val)
154222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_BWM_COUNT()		bfin_read32(DMA34_BWM_COUNT)
154322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_BWM_COUNT(val)		bfin_write32(DMA34_BWM_COUNT, val)
154422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA34_CURR_BWM_COUNT()	bfin_read32(DMA34_CURR_BWM_COUNT)
154522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA34_CURR_BWM_COUNT(val)	bfin_write32(DMA34_CURR_BWM_COUNT, val)
154622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
154722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 35 Registers */
154822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
154922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_NEXT_DESC_PTR() 	bfin_read32(DMA35_NEXT_DESC_PTR)
155022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_NEXT_DESC_PTR(val) 	bfin_write32(DMA35_NEXT_DESC_PTR, val)
155122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_START_ADDR() 		bfin_read32(DMA35_START_ADDR)
155222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_START_ADDR(val) 	bfin_write32(DMA35_START_ADDR, val)
155322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CONFIG()		bfin_read32(DMA35_CONFIG)
155422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CONFIG(val)		bfin_write32(DMA35_CONFIG, val)
155522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_X_COUNT()		bfin_read32(DMA35_X_COUNT)
155622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_X_COUNT(val)		bfin_write32(DMA35_X_COUNT, val)
155722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_X_MODIFY()		bfin_read32(DMA35_X_MODIFY)
155822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_X_MODIFY(val) 		bfin_write32(DMA35_X_MODIFY, val)
155922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_Y_COUNT()		bfin_read32(DMA35_Y_COUNT)
156022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_Y_COUNT(val)		bfin_write32(DMA35_Y_COUNT, val)
156122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_Y_MODIFY()		bfin_read32(DMA35_Y_MODIFY)
156222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_Y_MODIFY(val) 		bfin_write32(DMA35_Y_MODIFY, val)
156322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_DESC_PTR() 	bfin_read32(DMA35_CURR_DESC_PTR)
156422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_DESC_PTR(val) 	bfin_write32(DMA35_CURR_DESC_PTR, val)
156522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_PREV_DESC_PTR() 	bfin_read32(DMA35_PREV_DESC_PTR)
156622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_PREV_DESC_PTR(val) 	bfin_write32(DMA35_PREV_DESC_PTR, val)
156722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_ADDR() 		bfin_read32(DMA35_CURR_ADDR)
156822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_ADDR(val) 	bfin_write32(DMA35_CURR_ADDR, val)
156922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_IRQ_STATUS()		bfin_read32(DMA35_IRQ_STATUS)
157022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_IRQ_STATUS(val)	bfin_write32(DMA35_IRQ_STATUS, val)
157122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_X_COUNT()		bfin_read32(DMA35_CURR_X_COUNT)
157222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_X_COUNT(val)	bfin_write32(DMA35_CURR_X_COUNT, val)
157322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_Y_COUNT()		bfin_read32(DMA35_CURR_Y_COUNT)
157422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_Y_COUNT(val)	bfin_write32(DMA35_CURR_Y_COUNT, val)
157522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_BWL_COUNT()		bfin_read32(DMA35_BWL_COUNT)
157622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_BWL_COUNT(val)		bfin_write32(DMA35_BWL_COUNT, val)
157722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_BWL_COUNT()	bfin_read32(DMA35_CURR_BWL_COUNT)
157822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_BWL_COUNT(val)	bfin_write32(DMA35_CURR_BWL_COUNT, val)
157922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_BWM_COUNT()		bfin_read32(DMA35_BWM_COUNT)
158022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_BWM_COUNT(val)		bfin_write32(DMA35_BWM_COUNT, val)
158122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA35_CURR_BWM_COUNT()	bfin_read32(DMA35_CURR_BWM_COUNT)
158222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA35_CURR_BWM_COUNT(val)	bfin_write32(DMA35_CURR_BWM_COUNT, val)
158322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
158422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 36 Registers */
158522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
158622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_NEXT_DESC_PTR() 	bfin_read32(DMA36_NEXT_DESC_PTR)
158722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_NEXT_DESC_PTR(val) 	bfin_write32(DMA36_NEXT_DESC_PTR, val)
158822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_START_ADDR() 		bfin_read32(DMA36_START_ADDR)
158922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_START_ADDR(val) 	bfin_write32(DMA36_START_ADDR, val)
159022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CONFIG()		bfin_read32(DMA36_CONFIG)
159122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CONFIG(val)		bfin_write32(DMA36_CONFIG, val)
159222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_X_COUNT()		bfin_read32(DMA36_X_COUNT)
159322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_X_COUNT(val)		bfin_write32(DMA36_X_COUNT, val)
159422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_X_MODIFY()		bfin_read32(DMA36_X_MODIFY)
159522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_X_MODIFY(val) 		bfin_write32(DMA36_X_MODIFY, val)
159622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_Y_COUNT()		bfin_read32(DMA36_Y_COUNT)
159722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_Y_COUNT(val)		bfin_write32(DMA36_Y_COUNT, val)
159822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_Y_MODIFY()		bfin_read32(DMA36_Y_MODIFY)
159922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_Y_MODIFY(val) 		bfin_write32(DMA36_Y_MODIFY, val)
160022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_DESC_PTR() 	bfin_read32(DMA36_CURR_DESC_PTR)
160122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_DESC_PTR(val) 	bfin_write32(DMA36_CURR_DESC_PTR, val)
160222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_PREV_DESC_PTR() 	bfin_read32(DMA36_PREV_DESC_PTR)
160322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_PREV_DESC_PTR(val) 	bfin_write32(DMA36_PREV_DESC_PTR, val)
160422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_ADDR() 		bfin_read32(DMA36_CURR_ADDR)
160522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_ADDR(val) 	bfin_write32(DMA36_CURR_ADDR, val)
160622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_IRQ_STATUS()		bfin_read32(DMA36_IRQ_STATUS)
160722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_IRQ_STATUS(val)	bfin_write32(DMA36_IRQ_STATUS, val)
160822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_X_COUNT()		bfin_read32(DMA36_CURR_X_COUNT)
160922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_X_COUNT(val)	bfin_write32(DMA36_CURR_X_COUNT, val)
161022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_Y_COUNT()		bfin_read32(DMA36_CURR_Y_COUNT)
161122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_Y_COUNT(val)	bfin_write32(DMA36_CURR_Y_COUNT, val)
161222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_BWL_COUNT()		bfin_read32(DMA36_BWL_COUNT)
161322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_BWL_COUNT(val)		bfin_write32(DMA36_BWL_COUNT, val)
161422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_BWL_COUNT()	bfin_read32(DMA36_CURR_BWL_COUNT)
161522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_BWL_COUNT(val)	bfin_write32(DMA36_CURR_BWL_COUNT, val)
161622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_BWM_COUNT()		bfin_read32(DMA36_BWM_COUNT)
161722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_BWM_COUNT(val)		bfin_write32(DMA36_BWM_COUNT, val)
161822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA36_CURR_BWM_COUNT()	bfin_read32(DMA36_CURR_BWM_COUNT)
161922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA36_CURR_BWM_COUNT(val)	bfin_write32(DMA36_CURR_BWM_COUNT, val)
162022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
162122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 37 Registers */
162222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
162322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_NEXT_DESC_PTR() 	bfin_read32(DMA37_NEXT_DESC_PTR)
162422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_NEXT_DESC_PTR(val) 	bfin_write32(DMA37_NEXT_DESC_PTR, val)
162522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_START_ADDR() 		bfin_read32(DMA37_START_ADDR)
162622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_START_ADDR(val) 	bfin_write32(DMA37_START_ADDR, val)
162722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CONFIG()		bfin_read32(DMA37_CONFIG)
162822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CONFIG(val)		bfin_write32(DMA37_CONFIG, val)
162922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_X_COUNT()		bfin_read32(DMA37_X_COUNT)
163022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_X_COUNT(val)		bfin_write32(DMA37_X_COUNT, val)
163122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_X_MODIFY()		bfin_read32(DMA37_X_MODIFY)
163222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_X_MODIFY(val) 		bfin_write32(DMA37_X_MODIFY, val)
163322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_Y_COUNT()		bfin_read32(DMA37_Y_COUNT)
163422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_Y_COUNT(val)		bfin_write32(DMA37_Y_COUNT, val)
163522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_Y_MODIFY()		bfin_read32(DMA37_Y_MODIFY)
163622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_Y_MODIFY(val) 		bfin_write32(DMA37_Y_MODIFY, val)
163722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_DESC_PTR() 	bfin_read32(DMA37_CURR_DESC_PTR)
163822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_DESC_PTR(val) 	bfin_write32(DMA37_CURR_DESC_PTR, val)
163922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_PREV_DESC_PTR() 	bfin_read32(DMA37_PREV_DESC_PTR)
164022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_PREV_DESC_PTR(val) 	bfin_write32(DMA37_PREV_DESC_PTR, val)
164122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_ADDR() 		bfin_read32(DMA37_CURR_ADDR)
164222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_ADDR(val) 	bfin_write32(DMA37_CURR_ADDR, val)
164322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_IRQ_STATUS()		bfin_read32(DMA37_IRQ_STATUS)
164422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_IRQ_STATUS(val)	bfin_write32(DMA37_IRQ_STATUS, val)
164522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_X_COUNT()		bfin_read32(DMA37_CURR_X_COUNT)
164622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_X_COUNT(val)	bfin_write32(DMA37_CURR_X_COUNT, val)
164722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_Y_COUNT()		bfin_read32(DMA37_CURR_Y_COUNT)
164822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_Y_COUNT(val)	bfin_write32(DMA37_CURR_Y_COUNT, val)
164922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_BWL_COUNT()		bfin_read32(DMA37_BWL_COUNT)
165022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_BWL_COUNT(val)		bfin_write32(DMA37_BWL_COUNT, val)
165122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_BWL_COUNT()	bfin_read32(DMA37_CURR_BWL_COUNT)
165222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_BWL_COUNT(val)	bfin_write32(DMA37_CURR_BWL_COUNT, val)
165322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_BWM_COUNT()		bfin_read32(DMA37_BWM_COUNT)
165422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_BWM_COUNT(val)		bfin_write32(DMA37_BWM_COUNT, val)
165522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA37_CURR_BWM_COUNT()	bfin_read32(DMA37_CURR_BWM_COUNT)
165622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA37_CURR_BWM_COUNT(val)	bfin_write32(DMA37_CURR_BWM_COUNT, val)
165722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
165822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 38 Registers */
165922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
166022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_NEXT_DESC_PTR() 	bfin_read32(DMA38_NEXT_DESC_PTR)
166122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_NEXT_DESC_PTR(val) 	bfin_write32(DMA38_NEXT_DESC_PTR, val)
166222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_START_ADDR() 		bfin_read32(DMA38_START_ADDR)
166322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_START_ADDR(val) 	bfin_write32(DMA38_START_ADDR, val)
166422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CONFIG()		bfin_read32(DMA38_CONFIG)
166522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CONFIG(val)		bfin_write32(DMA38_CONFIG, val)
166622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_X_COUNT()		bfin_read32(DMA38_X_COUNT)
166722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_X_COUNT(val)		bfin_write32(DMA38_X_COUNT, val)
166822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_X_MODIFY()		bfin_read32(DMA38_X_MODIFY)
166922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_X_MODIFY(val) 		bfin_write32(DMA38_X_MODIFY, val)
167022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_Y_COUNT()		bfin_read32(DMA38_Y_COUNT)
167122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_Y_COUNT(val)		bfin_write32(DMA38_Y_COUNT, val)
167222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_Y_MODIFY()		bfin_read32(DMA38_Y_MODIFY)
167322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_Y_MODIFY(val) 		bfin_write32(DMA38_Y_MODIFY, val)
167422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_DESC_PTR() 	bfin_read32(DMA38_CURR_DESC_PTR)
167522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_DESC_PTR(val) 	bfin_write32(DMA38_CURR_DESC_PTR, val)
167622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_PREV_DESC_PTR() 	bfin_read32(DMA38_PREV_DESC_PTR)
167722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_PREV_DESC_PTR(val) 	bfin_write32(DMA38_PREV_DESC_PTR, val)
167822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_ADDR() 		bfin_read32(DMA38_CURR_ADDR)
167922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_ADDR(val) 	bfin_write32(DMA38_CURR_ADDR, val)
168022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_IRQ_STATUS()		bfin_read32(DMA38_IRQ_STATUS)
168122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_IRQ_STATUS(val)	bfin_write32(DMA38_IRQ_STATUS, val)
168222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_X_COUNT()		bfin_read32(DMA38_CURR_X_COUNT)
168322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_X_COUNT(val)	bfin_write32(DMA38_CURR_X_COUNT, val)
168422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_Y_COUNT()		bfin_read32(DMA38_CURR_Y_COUNT)
168522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_Y_COUNT(val)	bfin_write32(DMA38_CURR_Y_COUNT, val)
168622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_BWL_COUNT()		bfin_read32(DMA38_BWL_COUNT)
168722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_BWL_COUNT(val)		bfin_write32(DMA38_BWL_COUNT, val)
168822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_BWL_COUNT()	bfin_read32(DMA38_CURR_BWL_COUNT)
168922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_BWL_COUNT(val)	bfin_write32(DMA38_CURR_BWL_COUNT, val)
169022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_BWM_COUNT()		bfin_read32(DMA38_BWM_COUNT)
169122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_BWM_COUNT(val)		bfin_write32(DMA38_BWM_COUNT, val)
169222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA38_CURR_BWM_COUNT()	bfin_read32(DMA38_CURR_BWM_COUNT)
169322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA38_CURR_BWM_COUNT(val)	bfin_write32(DMA38_CURR_BWM_COUNT, val)
169422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
169522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 39 Registers */
169622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
169722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_NEXT_DESC_PTR() 	bfin_read32(DMA39_NEXT_DESC_PTR)
169822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_NEXT_DESC_PTR(val) 	bfin_write32(DMA39_NEXT_DESC_PTR, val)
169922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_START_ADDR() 		bfin_read32(DMA39_START_ADDR)
170022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_START_ADDR(val) 	bfin_write32(DMA39_START_ADDR, val)
170122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CONFIG()		bfin_read32(DMA39_CONFIG)
170222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CONFIG(val)		bfin_write32(DMA39_CONFIG, val)
170322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_X_COUNT()		bfin_read32(DMA39_X_COUNT)
170422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_X_COUNT(val)		bfin_write32(DMA39_X_COUNT, val)
170522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_X_MODIFY()		bfin_read32(DMA39_X_MODIFY)
170622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_X_MODIFY(val) 		bfin_write32(DMA39_X_MODIFY, val)
170722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_Y_COUNT()		bfin_read32(DMA39_Y_COUNT)
170822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_Y_COUNT(val)		bfin_write32(DMA39_Y_COUNT, val)
170922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_Y_MODIFY()		bfin_read32(DMA39_Y_MODIFY)
171022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_Y_MODIFY(val) 		bfin_write32(DMA39_Y_MODIFY, val)
171122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_DESC_PTR() 	bfin_read32(DMA39_CURR_DESC_PTR)
171222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_DESC_PTR(val) 	bfin_write32(DMA39_CURR_DESC_PTR, val)
171322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_PREV_DESC_PTR() 	bfin_read32(DMA39_PREV_DESC_PTR)
171422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_PREV_DESC_PTR(val) 	bfin_write32(DMA39_PREV_DESC_PTR, val)
171522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_ADDR() 		bfin_read32(DMA39_CURR_ADDR)
171622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_ADDR(val) 	bfin_write32(DMA39_CURR_ADDR, val)
171722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_IRQ_STATUS()		bfin_read32(DMA39_IRQ_STATUS)
171822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_IRQ_STATUS(val)	bfin_write32(DMA39_IRQ_STATUS, val)
171922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_X_COUNT()		bfin_read32(DMA39_CURR_X_COUNT)
172022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_X_COUNT(val)	bfin_write32(DMA39_CURR_X_COUNT, val)
172122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_Y_COUNT()		bfin_read32(DMA39_CURR_Y_COUNT)
172222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_Y_COUNT(val)	bfin_write32(DMA39_CURR_Y_COUNT, val)
172322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_BWL_COUNT()		bfin_read32(DMA39_BWL_COUNT)
172422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_BWL_COUNT(val)		bfin_write32(DMA39_BWL_COUNT, val)
172522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_BWL_COUNT()	bfin_read32(DMA39_CURR_BWL_COUNT)
172622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_BWL_COUNT(val)	bfin_write32(DMA39_CURR_BWL_COUNT, val)
172722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_BWM_COUNT()		bfin_read32(DMA39_BWM_COUNT)
172822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_BWM_COUNT(val)		bfin_write32(DMA39_BWM_COUNT, val)
172922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA39_CURR_BWM_COUNT()	bfin_read32(DMA39_CURR_BWM_COUNT)
173022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA39_CURR_BWM_COUNT(val)	bfin_write32(DMA39_CURR_BWM_COUNT, val)
173122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
173222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 40 Registers */
173322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
173422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_NEXT_DESC_PTR() 	bfin_read32(DMA40_NEXT_DESC_PTR)
173522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_NEXT_DESC_PTR(val) 	bfin_write32(DMA40_NEXT_DESC_PTR, val)
173622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_START_ADDR() 		bfin_read32(DMA40_START_ADDR)
173722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_START_ADDR(val) 	bfin_write32(DMA40_START_ADDR, val)
173822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CONFIG()		bfin_read32(DMA40_CONFIG)
173922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CONFIG(val)		bfin_write32(DMA40_CONFIG, val)
174022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_X_COUNT()		bfin_read32(DMA40_X_COUNT)
174122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_X_COUNT(val)		bfin_write32(DMA40_X_COUNT, val)
174222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_X_MODIFY()		bfin_read32(DMA40_X_MODIFY)
174322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_X_MODIFY(val) 		bfin_write32(DMA40_X_MODIFY, val)
174422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_Y_COUNT()		bfin_read32(DMA40_Y_COUNT)
174522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_Y_COUNT(val)		bfin_write32(DMA40_Y_COUNT, val)
174622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_Y_MODIFY()		bfin_read32(DMA40_Y_MODIFY)
174722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_Y_MODIFY(val) 		bfin_write32(DMA40_Y_MODIFY, val)
174822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_DESC_PTR() 	bfin_read32(DMA40_CURR_DESC_PTR)
174922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_DESC_PTR(val) 	bfin_write32(DMA40_CURR_DESC_PTR, val)
175022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_PREV_DESC_PTR() 	bfin_read32(DMA40_PREV_DESC_PTR)
175122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_PREV_DESC_PTR(val) 	bfin_write32(DMA40_PREV_DESC_PTR, val)
175222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_ADDR() 		bfin_read32(DMA40_CURR_ADDR)
175322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_ADDR(val) 	bfin_write32(DMA40_CURR_ADDR, val)
175422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_IRQ_STATUS()		bfin_read32(DMA40_IRQ_STATUS)
175522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_IRQ_STATUS(val)	bfin_write32(DMA40_IRQ_STATUS, val)
175622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_X_COUNT()		bfin_read32(DMA40_CURR_X_COUNT)
175722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_X_COUNT(val)	bfin_write32(DMA40_CURR_X_COUNT, val)
175822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_Y_COUNT()		bfin_read32(DMA40_CURR_Y_COUNT)
175922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_Y_COUNT(val)	bfin_write32(DMA40_CURR_Y_COUNT, val)
176022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_BWL_COUNT()		bfin_read32(DMA40_BWL_COUNT)
176122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_BWL_COUNT(val)		bfin_write32(DMA40_BWL_COUNT, val)
176222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_BWL_COUNT()	bfin_read32(DMA40_CURR_BWL_COUNT)
176322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_BWL_COUNT(val)	bfin_write32(DMA40_CURR_BWL_COUNT, val)
176422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_BWM_COUNT()		bfin_read32(DMA40_BWM_COUNT)
176522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_BWM_COUNT(val)		bfin_write32(DMA40_BWM_COUNT, val)
176622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA40_CURR_BWM_COUNT()	bfin_read32(DMA40_CURR_BWM_COUNT)
176722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA40_CURR_BWM_COUNT(val)	bfin_write32(DMA40_CURR_BWM_COUNT, val)
176822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
176922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 41 Registers */
177022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
177122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_NEXT_DESC_PTR() 	bfin_read32(DMA41_NEXT_DESC_PTR)
177222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_NEXT_DESC_PTR(val) 	bfin_write32(DMA41_NEXT_DESC_PTR, val)
177322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_START_ADDR() 		bfin_read32(DMA41_START_ADDR)
177422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_START_ADDR(val) 	bfin_write32(DMA41_START_ADDR, val)
177522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CONFIG()		bfin_read32(DMA41_CONFIG)
177622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CONFIG(val)		bfin_write32(DMA41_CONFIG, val)
177722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_X_COUNT()		bfin_read32(DMA41_X_COUNT)
177822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_X_COUNT(val)		bfin_write32(DMA41_X_COUNT, val)
177922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_X_MODIFY()		bfin_read32(DMA41_X_MODIFY)
178022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_X_MODIFY(val) 		bfin_write32(DMA41_X_MODIFY, val)
178122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_Y_COUNT()		bfin_read32(DMA41_Y_COUNT)
178222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_Y_COUNT(val)		bfin_write32(DMA41_Y_COUNT, val)
178322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_Y_MODIFY()		bfin_read32(DMA41_Y_MODIFY)
178422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_Y_MODIFY(val) 		bfin_write32(DMA41_Y_MODIFY, val)
178522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_DESC_PTR() 	bfin_read32(DMA41_CURR_DESC_PTR)
178622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_DESC_PTR(val) 	bfin_write32(DMA41_CURR_DESC_PTR, val)
178722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_PREV_DESC_PTR() 	bfin_read32(DMA41_PREV_DESC_PTR)
178822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_PREV_DESC_PTR(val) 	bfin_write32(DMA41_PREV_DESC_PTR, val)
178922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_ADDR() 		bfin_read32(DMA41_CURR_ADDR)
179022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_ADDR(val) 	bfin_write32(DMA41_CURR_ADDR, val)
179122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_IRQ_STATUS()		bfin_read32(DMA41_IRQ_STATUS)
179222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_IRQ_STATUS(val)	bfin_write32(DMA41_IRQ_STATUS, val)
179322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_X_COUNT()		bfin_read32(DMA41_CURR_X_COUNT)
179422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_X_COUNT(val)	bfin_write32(DMA41_CURR_X_COUNT, val)
179522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_Y_COUNT()		bfin_read32(DMA41_CURR_Y_COUNT)
179622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_Y_COUNT(val)	bfin_write32(DMA41_CURR_Y_COUNT, val)
179722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_BWL_COUNT()		bfin_read32(DMA41_BWL_COUNT)
179822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_BWL_COUNT(val)		bfin_write32(DMA41_BWL_COUNT, val)
179922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_BWL_COUNT()	bfin_read32(DMA41_CURR_BWL_COUNT)
180022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_BWL_COUNT(val)	bfin_write32(DMA41_CURR_BWL_COUNT, val)
180122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_BWM_COUNT()		bfin_read32(DMA41_BWM_COUNT)
180222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_BWM_COUNT(val)		bfin_write32(DMA41_BWM_COUNT, val)
180322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA41_CURR_BWM_COUNT()	bfin_read32(DMA41_CURR_BWM_COUNT)
180422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA41_CURR_BWM_COUNT(val)	bfin_write32(DMA41_CURR_BWM_COUNT, val)
180522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
180622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 42 Registers */
180722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
180822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_NEXT_DESC_PTR() 	bfin_read32(DMA42_NEXT_DESC_PTR)
180922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_NEXT_DESC_PTR(val) 	bfin_write32(DMA42_NEXT_DESC_PTR, val)
181022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_START_ADDR() 		bfin_read32(DMA42_START_ADDR)
181122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_START_ADDR(val) 	bfin_write32(DMA42_START_ADDR, val)
181222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CONFIG()		bfin_read32(DMA42_CONFIG)
181322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CONFIG(val)		bfin_write32(DMA42_CONFIG, val)
181422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_X_COUNT()		bfin_read32(DMA42_X_COUNT)
181522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_X_COUNT(val)		bfin_write32(DMA42_X_COUNT, val)
181622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_X_MODIFY()		bfin_read32(DMA42_X_MODIFY)
181722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_X_MODIFY(val) 		bfin_write32(DMA42_X_MODIFY, val)
181822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_Y_COUNT()		bfin_read32(DMA42_Y_COUNT)
181922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_Y_COUNT(val)		bfin_write32(DMA42_Y_COUNT, val)
182022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_Y_MODIFY()		bfin_read32(DMA42_Y_MODIFY)
182122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_Y_MODIFY(val) 		bfin_write32(DMA42_Y_MODIFY, val)
182222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_DESC_PTR() 	bfin_read32(DMA42_CURR_DESC_PTR)
182322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_DESC_PTR(val) 	bfin_write32(DMA42_CURR_DESC_PTR, val)
182422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_PREV_DESC_PTR() 	bfin_read32(DMA42_PREV_DESC_PTR)
182522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_PREV_DESC_PTR(val) 	bfin_write32(DMA42_PREV_DESC_PTR, val)
182622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_ADDR() 		bfin_read32(DMA42_CURR_ADDR)
182722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_ADDR(val) 	bfin_write32(DMA42_CURR_ADDR, val)
182822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_IRQ_STATUS()		bfin_read32(DMA42_IRQ_STATUS)
182922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_IRQ_STATUS(val)	bfin_write32(DMA42_IRQ_STATUS, val)
183022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_X_COUNT()		bfin_read32(DMA42_CURR_X_COUNT)
183122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_X_COUNT(val)	bfin_write32(DMA42_CURR_X_COUNT, val)
183222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_Y_COUNT()		bfin_read32(DMA42_CURR_Y_COUNT)
183322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_Y_COUNT(val)	bfin_write32(DMA42_CURR_Y_COUNT, val)
183422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_BWL_COUNT()		bfin_read32(DMA42_BWL_COUNT)
183522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_BWL_COUNT(val)		bfin_write32(DMA42_BWL_COUNT, val)
183622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_BWL_COUNT()	bfin_read32(DMA42_CURR_BWL_COUNT)
183722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_BWL_COUNT(val)	bfin_write32(DMA42_CURR_BWL_COUNT, val)
183822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_BWM_COUNT()		bfin_read32(DMA42_BWM_COUNT)
183922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_BWM_COUNT(val)		bfin_write32(DMA42_BWM_COUNT, val)
184022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA42_CURR_BWM_COUNT()	bfin_read32(DMA42_CURR_BWM_COUNT)
184122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA42_CURR_BWM_COUNT(val)	bfin_write32(DMA42_CURR_BWM_COUNT, val)
184222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
184322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 43 Registers */
184422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
184522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_NEXT_DESC_PTR() 	bfin_read32(DMA43_NEXT_DESC_PTR)
184622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_NEXT_DESC_PTR(val) 	bfin_write32(DMA43_NEXT_DESC_PTR, val)
184722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_START_ADDR() 		bfin_read32(DMA43_START_ADDR)
184822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_START_ADDR(val) 	bfin_write32(DMA43_START_ADDR, val)
184922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CONFIG()		bfin_read32(DMA43_CONFIG)
185022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CONFIG(val)		bfin_write32(DMA43_CONFIG, val)
185122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_X_COUNT()		bfin_read32(DMA43_X_COUNT)
185222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_X_COUNT(val)		bfin_write32(DMA43_X_COUNT, val)
185322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_X_MODIFY()		bfin_read32(DMA43_X_MODIFY)
185422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_X_MODIFY(val) 		bfin_write32(DMA43_X_MODIFY, val)
185522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_Y_COUNT()		bfin_read32(DMA43_Y_COUNT)
185622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_Y_COUNT(val)		bfin_write32(DMA43_Y_COUNT, val)
185722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_Y_MODIFY()		bfin_read32(DMA43_Y_MODIFY)
185822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_Y_MODIFY(val) 		bfin_write32(DMA43_Y_MODIFY, val)
185922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_DESC_PTR() 	bfin_read32(DMA43_CURR_DESC_PTR)
186022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_DESC_PTR(val) 	bfin_write32(DMA43_CURR_DESC_PTR, val)
186122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_PREV_DESC_PTR() 	bfin_read32(DMA43_PREV_DESC_PTR)
186222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_PREV_DESC_PTR(val) 	bfin_write32(DMA43_PREV_DESC_PTR, val)
186322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_ADDR() 		bfin_read32(DMA43_CURR_ADDR)
186422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_ADDR(val) 	bfin_write32(DMA43_CURR_ADDR, val)
186522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_IRQ_STATUS()		bfin_read32(DMA43_IRQ_STATUS)
186622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_IRQ_STATUS(val)	bfin_write32(DMA43_IRQ_STATUS, val)
186722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_X_COUNT()		bfin_read32(DMA43_CURR_X_COUNT)
186822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_X_COUNT(val)	bfin_write32(DMA43_CURR_X_COUNT, val)
186922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_Y_COUNT()		bfin_read32(DMA43_CURR_Y_COUNT)
187022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_Y_COUNT(val)	bfin_write32(DMA43_CURR_Y_COUNT, val)
187122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_BWL_COUNT()		bfin_read32(DMA43_BWL_COUNT)
187222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_BWL_COUNT(val)		bfin_write32(DMA43_BWL_COUNT, val)
187322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_BWL_COUNT()	bfin_read32(DMA43_CURR_BWL_COUNT)
187422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_BWL_COUNT(val)	bfin_write32(DMA43_CURR_BWL_COUNT, val)
187522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_BWM_COUNT()		bfin_read32(DMA43_BWM_COUNT)
187622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_BWM_COUNT(val)		bfin_write32(DMA43_BWM_COUNT, val)
187722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA43_CURR_BWM_COUNT()	bfin_read32(DMA43_CURR_BWM_COUNT)
187822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA43_CURR_BWM_COUNT(val)	bfin_write32(DMA43_CURR_BWM_COUNT, val)
187922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
188022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 44 Registers */
188122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
188222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_NEXT_DESC_PTR() 	bfin_read32(DMA44_NEXT_DESC_PTR)
188322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_NEXT_DESC_PTR(val) 	bfin_write32(DMA44_NEXT_DESC_PTR, val)
188422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_START_ADDR() 		bfin_read32(DMA44_START_ADDR)
188522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_START_ADDR(val) 	bfin_write32(DMA44_START_ADDR, val)
188622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CONFIG()		bfin_read32(DMA44_CONFIG)
188722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CONFIG(val)		bfin_write32(DMA44_CONFIG, val)
188822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_X_COUNT()		bfin_read32(DMA44_X_COUNT)
188922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_X_COUNT(val)		bfin_write32(DMA44_X_COUNT, val)
189022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_X_MODIFY()		bfin_read32(DMA44_X_MODIFY)
189122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_X_MODIFY(val) 		bfin_write32(DMA44_X_MODIFY, val)
189222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_Y_COUNT()		bfin_read32(DMA44_Y_COUNT)
189322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_Y_COUNT(val)		bfin_write32(DMA44_Y_COUNT, val)
189422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_Y_MODIFY()		bfin_read32(DMA44_Y_MODIFY)
189522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_Y_MODIFY(val) 		bfin_write32(DMA44_Y_MODIFY, val)
189622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_DESC_PTR() 	bfin_read32(DMA44_CURR_DESC_PTR)
189722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_DESC_PTR(val) 	bfin_write32(DMA44_CURR_DESC_PTR, val)
189822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_PREV_DESC_PTR() 	bfin_read32(DMA44_PREV_DESC_PTR)
189922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_PREV_DESC_PTR(val) 	bfin_write32(DMA44_PREV_DESC_PTR, val)
190022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_ADDR() 		bfin_read32(DMA44_CURR_ADDR)
190122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_ADDR(val) 	bfin_write32(DMA44_CURR_ADDR, val)
190222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_IRQ_STATUS()		bfin_read32(DMA44_IRQ_STATUS)
190322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_IRQ_STATUS(val)	bfin_write32(DMA44_IRQ_STATUS, val)
190422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_X_COUNT()		bfin_read32(DMA44_CURR_X_COUNT)
190522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_X_COUNT(val)	bfin_write32(DMA44_CURR_X_COUNT, val)
190622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_Y_COUNT()		bfin_read32(DMA44_CURR_Y_COUNT)
190722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_Y_COUNT(val)	bfin_write32(DMA44_CURR_Y_COUNT, val)
190822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_BWL_COUNT()		bfin_read32(DMA44_BWL_COUNT)
190922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_BWL_COUNT(val)		bfin_write32(DMA44_BWL_COUNT, val)
191022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_BWL_COUNT()	bfin_read32(DMA44_CURR_BWL_COUNT)
191122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_BWL_COUNT(val)	bfin_write32(DMA44_CURR_BWL_COUNT, val)
191222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_BWM_COUNT()		bfin_read32(DMA44_BWM_COUNT)
191322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_BWM_COUNT(val)		bfin_write32(DMA44_BWM_COUNT, val)
191422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA44_CURR_BWM_COUNT()	bfin_read32(DMA44_CURR_BWM_COUNT)
191522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA44_CURR_BWM_COUNT(val)	bfin_write32(DMA44_CURR_BWM_COUNT, val)
191622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
191722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 45 Registers */
191822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
191922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_NEXT_DESC_PTR() 	bfin_read32(DMA45_NEXT_DESC_PTR)
192022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_NEXT_DESC_PTR(val) 	bfin_write32(DMA45_NEXT_DESC_PTR, val)
192122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_START_ADDR() 		bfin_read32(DMA45_START_ADDR)
192222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_START_ADDR(val) 	bfin_write32(DMA45_START_ADDR, val)
192322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CONFIG()		bfin_read32(DMA45_CONFIG)
192422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CONFIG(val)		bfin_write32(DMA45_CONFIG, val)
192522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_X_COUNT()		bfin_read32(DMA45_X_COUNT)
192622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_X_COUNT(val)		bfin_write32(DMA45_X_COUNT, val)
192722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_X_MODIFY()		bfin_read32(DMA45_X_MODIFY)
192822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_X_MODIFY(val) 		bfin_write32(DMA45_X_MODIFY, val)
192922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_Y_COUNT()		bfin_read32(DMA45_Y_COUNT)
193022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_Y_COUNT(val)		bfin_write32(DMA45_Y_COUNT, val)
193122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_Y_MODIFY()		bfin_read32(DMA45_Y_MODIFY)
193222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_Y_MODIFY(val) 		bfin_write32(DMA45_Y_MODIFY, val)
193322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_DESC_PTR() 	bfin_read32(DMA45_CURR_DESC_PTR)
193422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_DESC_PTR(val) 	bfin_write32(DMA45_CURR_DESC_PTR, val)
193522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_PREV_DESC_PTR() 	bfin_read32(DMA45_PREV_DESC_PTR)
193622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_PREV_DESC_PTR(val) 	bfin_write32(DMA45_PREV_DESC_PTR, val)
193722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_ADDR() 		bfin_read32(DMA45_CURR_ADDR)
193822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_ADDR(val) 	bfin_write32(DMA45_CURR_ADDR, val)
193922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_IRQ_STATUS()		bfin_read32(DMA45_IRQ_STATUS)
194022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_IRQ_STATUS(val)	bfin_write32(DMA45_IRQ_STATUS, val)
194122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_X_COUNT()		bfin_read32(DMA45_CURR_X_COUNT)
194222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_X_COUNT(val)	bfin_write32(DMA45_CURR_X_COUNT, val)
194322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_Y_COUNT()		bfin_read32(DMA45_CURR_Y_COUNT)
194422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_Y_COUNT(val)	bfin_write32(DMA45_CURR_Y_COUNT, val)
194522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_BWL_COUNT()		bfin_read32(DMA45_BWL_COUNT)
194622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_BWL_COUNT(val)		bfin_write32(DMA45_BWL_COUNT, val)
194722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_BWL_COUNT()	bfin_read32(DMA45_CURR_BWL_COUNT)
194822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_BWL_COUNT(val)	bfin_write32(DMA45_CURR_BWL_COUNT, val)
194922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_BWM_COUNT()		bfin_read32(DMA45_BWM_COUNT)
195022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_BWM_COUNT(val)		bfin_write32(DMA45_BWM_COUNT, val)
195122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA45_CURR_BWM_COUNT()	bfin_read32(DMA45_CURR_BWM_COUNT)
195222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA45_CURR_BWM_COUNT(val)	bfin_write32(DMA45_CURR_BWM_COUNT, val)
195322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
195422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* DMA Channel 46 Registers */
195522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
195622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_NEXT_DESC_PTR() 	bfin_read32(DMA46_NEXT_DESC_PTR)
195722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_NEXT_DESC_PTR(val) 	bfin_write32(DMA46_NEXT_DESC_PTR, val)
195822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_START_ADDR() 		bfin_read32(DMA46_START_ADDR)
195922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_START_ADDR(val) 	bfin_write32(DMA46_START_ADDR, val)
196022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CONFIG()		bfin_read32(DMA46_CONFIG)
196122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CONFIG(val)		bfin_write32(DMA46_CONFIG, val)
196222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_X_COUNT()		bfin_read32(DMA46_X_COUNT)
196322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_X_COUNT(val)		bfin_write32(DMA46_X_COUNT, val)
196422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_X_MODIFY()		bfin_read32(DMA46_X_MODIFY)
196522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_X_MODIFY(val) 		bfin_write32(DMA46_X_MODIFY, val)
196622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_Y_COUNT()		bfin_read32(DMA46_Y_COUNT)
196722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_Y_COUNT(val)		bfin_write32(DMA46_Y_COUNT, val)
196822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_Y_MODIFY()		bfin_read32(DMA46_Y_MODIFY)
196922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_Y_MODIFY(val) 		bfin_write32(DMA46_Y_MODIFY, val)
197022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_DESC_PTR() 	bfin_read32(DMA46_CURR_DESC_PTR)
197122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_DESC_PTR(val) 	bfin_write32(DMA46_CURR_DESC_PTR, val)
197222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_PREV_DESC_PTR() 	bfin_read32(DMA46_PREV_DESC_PTR)
197322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_PREV_DESC_PTR(val) 	bfin_write32(DMA46_PREV_DESC_PTR, val)
197422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_ADDR() 		bfin_read32(DMA46_CURR_ADDR)
197522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_ADDR(val) 	bfin_write32(DMA46_CURR_ADDR, val)
197622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_IRQ_STATUS()		bfin_read32(DMA46_IRQ_STATUS)
197722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_IRQ_STATUS(val)	bfin_write32(DMA46_IRQ_STATUS, val)
197822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_X_COUNT()		bfin_read32(DMA46_CURR_X_COUNT)
197922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_X_COUNT(val)	bfin_write32(DMA46_CURR_X_COUNT, val)
198022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_Y_COUNT()		bfin_read32(DMA46_CURR_Y_COUNT)
198122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_Y_COUNT(val)	bfin_write32(DMA46_CURR_Y_COUNT, val)
198222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_BWL_COUNT()		bfin_read32(DMA46_BWL_COUNT)
198322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_BWL_COUNT(val)		bfin_write32(DMA46_BWL_COUNT, val)
198422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_BWL_COUNT()	bfin_read32(DMA46_CURR_BWL_COUNT)
198522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_BWL_COUNT(val)	bfin_write32(DMA46_CURR_BWL_COUNT, val)
198622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_BWM_COUNT()		bfin_read32(DMA46_BWM_COUNT)
198722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_BWM_COUNT(val)		bfin_write32(DMA46_BWM_COUNT, val)
198822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_DMA46_CURR_BWM_COUNT()	bfin_read32(DMA46_CURR_BWM_COUNT)
198922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_DMA46_CURR_BWM_COUNT(val)	bfin_write32(DMA46_CURR_BWM_COUNT, val)
199022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
199122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
199222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* EPPI1 Registers */
199322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
199422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
199522a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 0 Registers (32-bit) */
199622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
199722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_MASK_SET()		bfin_read32(PINT0_MASK_SET)
199822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_MASK_SET(val)		bfin_write32(PINT0_MASK_SET, val)
199922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_MASK_CLEAR()		bfin_read32(PINT0_MASK_CLEAR)
200022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_MASK_CLEAR(val)	bfin_write32(PINT0_MASK_CLEAR, val)
200122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_REQUEST()		bfin_read32(PINT0_REQUEST)
200222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_REQUEST(val)		bfin_write32(PINT0_REQUEST, val)
200322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_ASSIGN()		bfin_read32(PINT0_ASSIGN)
200422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_ASSIGN(val)		bfin_write32(PINT0_ASSIGN, val)
200522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_EDGE_SET()		bfin_read32(PINT0_EDGE_SET)
200622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_EDGE_SET(val)		bfin_write32(PINT0_EDGE_SET, val)
200722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_EDGE_CLEAR()		bfin_read32(PINT0_EDGE_CLEAR)
200822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_EDGE_CLEAR(val)	bfin_write32(PINT0_EDGE_CLEAR, val)
200922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_INVERT_SET()		bfin_read32(PINT0_INVERT_SET)
201022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_INVERT_SET(val)	bfin_write32(PINT0_INVERT_SET, val)
201122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_INVERT_CLEAR()		bfin_read32(PINT0_INVERT_CLEAR)
201222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_INVERT_CLEAR(val)	bfin_write32(PINT0_INVERT_CLEAR, val)
201322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_PINSTATE()		bfin_read32(PINT0_PINSTATE)
201422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_PINSTATE(val)		bfin_write32(PINT0_PINSTATE, val)
201522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT0_LATCH()			bfin_read32(PINT0_LATCH)
201622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT0_LATCH(val)		bfin_write32(PINT0_LATCH, val)
201722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
201822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 1 Registers (32-bit) */
201922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
202022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_MASK_SET()		bfin_read32(PINT1_MASK_SET)
202122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_MASK_SET(val)		bfin_write32(PINT1_MASK_SET, val)
202222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_MASK_CLEAR()		bfin_read32(PINT1_MASK_CLEAR)
202322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_MASK_CLEAR(val)	bfin_write32(PINT1_MASK_CLEAR, val)
202422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_REQUEST()		bfin_read32(PINT1_REQUEST)
202522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_REQUEST(val)		bfin_write32(PINT1_REQUEST, val)
202622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_ASSIGN()		bfin_read32(PINT1_ASSIGN)
202722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_ASSIGN(val)		bfin_write32(PINT1_ASSIGN, val)
202822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_EDGE_SET()		bfin_read32(PINT1_EDGE_SET)
202922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_EDGE_SET(val)		bfin_write32(PINT1_EDGE_SET, val)
203022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_EDGE_CLEAR()		bfin_read32(PINT1_EDGE_CLEAR)
203122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_EDGE_CLEAR(val)	bfin_write32(PINT1_EDGE_CLEAR, val)
203222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_INVERT_SET()		bfin_read32(PINT1_INVERT_SET)
203322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_INVERT_SET(val)	bfin_write32(PINT1_INVERT_SET, val)
203422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_INVERT_CLEAR()		bfin_read32(PINT1_INVERT_CLEAR)
203522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_INVERT_CLEAR(val)	bfin_write32(PINT1_INVERT_CLEAR, val)
203622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_PINSTATE()		bfin_read32(PINT1_PINSTATE)
203722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_PINSTATE(val)		bfin_write32(PINT1_PINSTATE, val)
203822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT1_LATCH()			bfin_read32(PINT1_LATCH)
203922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT1_LATCH(val)		bfin_write32(PINT1_LATCH, val)
204022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
204122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 2 Registers (32-bit) */
204222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
204322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_MASK_SET()		bfin_read32(PINT2_MASK_SET)
204422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_MASK_SET(val)		bfin_write32(PINT2_MASK_SET, val)
204522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_MASK_CLEAR()		bfin_read32(PINT2_MASK_CLEAR)
204622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_MASK_CLEAR(val)	bfin_write32(PINT2_MASK_CLEAR, val)
204722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_REQUEST()		bfin_read32(PINT2_REQUEST)
204822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_REQUEST(val)		bfin_write32(PINT2_REQUEST, val)
204922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_ASSIGN()		bfin_read32(PINT2_ASSIGN)
205022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_ASSIGN(val)		bfin_write32(PINT2_ASSIGN, val)
205122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_EDGE_SET()		bfin_read32(PINT2_EDGE_SET)
205222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_EDGE_SET(val)		bfin_write32(PINT2_EDGE_SET, val)
205322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_EDGE_CLEAR()		bfin_read32(PINT2_EDGE_CLEAR)
205422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_EDGE_CLEAR(val)	bfin_write32(PINT2_EDGE_CLEAR, val)
205522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_INVERT_SET()		bfin_read32(PINT2_INVERT_SET)
205622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_INVERT_SET(val)	bfin_write32(PINT2_INVERT_SET, val)
205722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_INVERT_CLEAR()		bfin_read32(PINT2_INVERT_CLEAR)
205822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_INVERT_CLEAR(val)	bfin_write32(PINT2_INVERT_CLEAR, val)
205922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_PINSTATE()		bfin_read32(PINT2_PINSTATE)
206022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_PINSTATE(val)		bfin_write32(PINT2_PINSTATE, val)
206122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT2_LATCH()			bfin_read32(PINT2_LATCH)
206222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT2_LATCH(val)		bfin_write32(PINT2_LATCH, val)
206322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
206422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 3 Registers (32-bit) */
206522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
206622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_MASK_SET()		bfin_read32(PINT3_MASK_SET)
206722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_MASK_SET(val)		bfin_write32(PINT3_MASK_SET, val)
206822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_MASK_CLEAR()		bfin_read32(PINT3_MASK_CLEAR)
206922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_MASK_CLEAR(val)	bfin_write32(PINT3_MASK_CLEAR, val)
207022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_REQUEST()		bfin_read32(PINT3_REQUEST)
207122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_REQUEST(val)		bfin_write32(PINT3_REQUEST, val)
207222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_ASSIGN()		bfin_read32(PINT3_ASSIGN)
207322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_ASSIGN(val)		bfin_write32(PINT3_ASSIGN, val)
207422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_EDGE_SET()		bfin_read32(PINT3_EDGE_SET)
207522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_EDGE_SET(val)		bfin_write32(PINT3_EDGE_SET, val)
207622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_EDGE_CLEAR()		bfin_read32(PINT3_EDGE_CLEAR)
207722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_EDGE_CLEAR(val)	bfin_write32(PINT3_EDGE_CLEAR, val)
207822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_INVERT_SET()		bfin_read32(PINT3_INVERT_SET)
207922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_INVERT_SET(val)	bfin_write32(PINT3_INVERT_SET, val)
208022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_INVERT_CLEAR()		bfin_read32(PINT3_INVERT_CLEAR)
208122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_INVERT_CLEAR(val)	bfin_write32(PINT3_INVERT_CLEAR, val)
208222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_PINSTATE()		bfin_read32(PINT3_PINSTATE)
208322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_PINSTATE(val)		bfin_write32(PINT3_PINSTATE, val)
208422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT3_LATCH()			bfin_read32(PINT3_LATCH)
208522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT3_LATCH(val)		bfin_write32(PINT3_LATCH, val)
208622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
208722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 4 Registers (32-bit) */
208822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
208922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_MASK_SET()		bfin_read32(PINT4_MASK_SET)
209022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_MASK_SET(val)		bfin_write32(PINT4_MASK_SET, val)
209122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_MASK_CLEAR()		bfin_read32(PINT4_MASK_CLEAR)
209222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_MASK_CLEAR(val)	bfin_write32(PINT4_MASK_CLEAR, val)
209322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_REQUEST()		bfin_read32(PINT4_REQUEST)
209422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_REQUEST(val)		bfin_write32(PINT4_REQUEST, val)
209522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_ASSIGN()		bfin_read32(PINT4_ASSIGN)
209622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_ASSIGN(val)		bfin_write32(PINT4_ASSIGN, val)
209722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_EDGE_SET()		bfin_read32(PINT4_EDGE_SET)
209822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_EDGE_SET(val)		bfin_write32(PINT4_EDGE_SET, val)
209922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_EDGE_CLEAR()		bfin_read32(PINT4_EDGE_CLEAR)
210022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_EDGE_CLEAR(val)	bfin_write32(PINT4_EDGE_CLEAR, val)
210122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_INVERT_SET()		bfin_read32(PINT4_INVERT_SET)
210222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_INVERT_SET(val)	bfin_write32(PINT4_INVERT_SET, val)
210322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_INVERT_CLEAR()		bfin_read32(PINT4_INVERT_CLEAR)
210422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_INVERT_CLEAR(val)	bfin_write32(PINT4_INVERT_CLEAR, val)
210522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_PINSTATE()		bfin_read32(PINT4_PINSTATE)
210622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_PINSTATE(val)		bfin_write32(PINT4_PINSTATE, val)
210722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT4_LATCH()			bfin_read32(PINT4_LATCH)
210822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT4_LATCH(val)		bfin_write32(PINT4_LATCH, val)
210922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
211022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port Interrubfin_read_()t 5 Registers (32-bit) */
211122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
211222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_MASK_SET()		bfin_read32(PINT5_MASK_SET)
211322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_MASK_SET(val)		bfin_write32(PINT5_MASK_SET, val)
211422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_MASK_CLEAR()		bfin_read32(PINT5_MASK_CLEAR)
211522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_MASK_CLEAR(val)	bfin_write32(PINT5_MASK_CLEAR, val)
211622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_REQUEST()		bfin_read32(PINT5_REQUEST)
211722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_REQUEST(val)		bfin_write32(PINT5_REQUEST, val)
211822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_ASSIGN()		bfin_read32(PINT5_ASSIGN)
211922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_ASSIGN(val)		bfin_write32(PINT5_ASSIGN, val)
212022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_EDGE_SET()		bfin_read32(PINT5_EDGE_SET)
212122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_EDGE_SET(val)		bfin_write32(PINT5_EDGE_SET, val)
212222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_EDGE_CLEAR()		bfin_read32(PINT5_EDGE_CLEAR)
212322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_EDGE_CLEAR(val)	bfin_write32(PINT5_EDGE_CLEAR, val)
212422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_INVERT_SET()		bfin_read32(PINT5_INVERT_SET)
212522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_INVERT_SET(val)	bfin_write32(PINT5_INVERT_SET, val)
212622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_INVERT_CLEAR()		bfin_read32(PINT5_INVERT_CLEAR)
212722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_INVERT_CLEAR(val)	bfin_write32(PINT5_INVERT_CLEAR, val)
212822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_PINSTATE()		bfin_read32(PINT5_PINSTATE)
212922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_PINSTATE(val)		bfin_write32(PINT5_PINSTATE, val)
213022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PINT5_LATCH()			bfin_read32(PINT5_LATCH)
213122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PINT5_LATCH(val)		bfin_write32(PINT5_LATCH, val)
213222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
213322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port A Registers */
213422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
213522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_FER()		bfin_read32(PORTA_FER)
213622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_FER(val)	bfin_write32(PORTA_FER, val)
213722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_FER_SET()	bfin_read32(PORTA_FER_SET)
213822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_FER_SET(val)	bfin_write32(PORTA_FER_SET, val)
213922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_FER_CLEAR()	bfin_read32(PORTA_FER_CLEAR)
214022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_FER_CLEAR(val)	bfin_write32(PORTA_FER_CLEAR, val)
214122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA()		bfin_read32(PORTA)
214222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA(val)		bfin_write32(PORTA, val)
214322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_SET()		bfin_read32(PORTA_SET)
214422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_SET(val)	bfin_write32(PORTA_SET, val)
214522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_CLEAR()		bfin_read32(PORTA_CLEAR)
214622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_CLEAR(val)	bfin_write32(PORTA_CLEAR, val)
214722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_DIR()		bfin_read32(PORTA_DIR)
214822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_DIR(val)	bfin_write32(PORTA_DIR, val)
214922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_DIR_SET()	bfin_read32(PORTA_DIR_SET)
215022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_DIR_SET(val)	bfin_write32(PORTA_DIR_SET, val)
215122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_DIR_CLEAR()	bfin_read32(PORTA_DIR_CLEAR)
215222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_DIR_CLEAR(val)	bfin_write32(PORTA_DIR_CLEAR, val)
215322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_INEN()		bfin_read32(PORTA_INEN)
215422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_INEN(val)	bfin_write32(PORTA_INEN, val)
215522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_INEN_SET()	bfin_read32(PORTA_INEN_SET)
215622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_INEN_SET(val)	bfin_write32(PORTA_INEN_SET, val)
215722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_INEN_CLEAR()	bfin_read32(PORTA_INEN_CLEAR)
215822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_INEN_CLEAR(val)	bfin_write32(PORTA_INEN_CLEAR, val)
215922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_MUX()		bfin_read32(PORTA_MUX)
216022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_MUX(val)	bfin_write32(PORTA_MUX, val)
216122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_DATA_TGL()	bfin_read32(PORTA_DATA_TGL)
216222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_DATA_TGL(val)	bfin_write32(PORTA_DATA_TGL, val)
216322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_POL()		bfin_read32(PORTA_POL)
216422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_POL(val)	bfin_write32(PORTA_POL, val)
216522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_POL_SET()	bfin_read32(PORTA_POL_SET)
216622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_POL_SET(val)	bfin_write32(PORTA_POL_SET, val)
216722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_POL_CLEAR()	bfin_read32(PORTA_POL_CLEAR)
216822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_POL_CLEAR(val)	bfin_write32(PORTA_POL_CLEAR, val)
216922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_LOCK()		bfin_read32(PORTA_LOCK)
217022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_LOCK(val)	bfin_write32(PORTA_LOCK, val)
217122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTA_REVID()		bfin_read32(PORTA_REVID)
217222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTA_REVID(val)	bfin_write32(PORTA_REVID, val)
217322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
217422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
217522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
217622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port B Registers */
217722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_FER()		bfin_read32(PORTB_FER)
217822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_FER(val)	bfin_write32(PORTB_FER, val)
217922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_FER_SET()	bfin_read32(PORTB_FER_SET)
218022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_FER_SET(val)	bfin_write32(PORTB_FER_SET, val)
218122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_FER_CLEAR()	bfin_read32(PORTB_FER_CLEAR)
218222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_FER_CLEAR(val)	bfin_write32(PORTB_FER_CLEAR, val)
218322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB()		bfin_read32(PORTB)
218422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB(val)		bfin_write32(PORTB, val)
218522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_SET()		bfin_read32(PORTB_SET)
218622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_SET(val)	bfin_write32(PORTB_SET, val)
218722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_CLEAR()		bfin_read32(PORTB_CLEAR)
218822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_CLEAR(val)	bfin_write32(PORTB_CLEAR, val)
218922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_DIR()		bfin_read32(PORTB_DIR)
219022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_DIR(val)	bfin_write32(PORTB_DIR, val)
219122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_DIR_SET()	bfin_read32(PORTB_DIR_SET)
219222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_DIR_SET(val)	bfin_write32(PORTB_DIR_SET, val)
219322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_DIR_CLEAR()	bfin_read32(PORTB_DIR_CLEAR)
219422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_DIR_CLEAR(val)	bfin_write32(PORTB_DIR_CLEAR, val)
219522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_INEN()		bfin_read32(PORTB_INEN)
219622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_INEN(val)	bfin_write32(PORTB_INEN, val)
219722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_INEN_SET()	bfin_read32(PORTB_INEN_SET)
219822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_INEN_SET(val)	bfin_write32(PORTB_INEN_SET, val)
219922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_INEN_CLEAR()	bfin_read32(PORTB_INEN_CLEAR)
220022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_INEN_CLEAR(val)	bfin_write32(PORTB_INEN_CLEAR, val)
220122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_MUX()		bfin_read32(PORTB_MUX)
220222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_MUX(val)	bfin_write32(PORTB_MUX, val)
220322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_DATA_TGL()	bfin_read32(PORTB_DATA_TGL)
220422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_DATA_TGL(val)	bfin_write32(PORTB_DATA_TGL, val)
220522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_POL()		bfin_read32(PORTB_POL)
220622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_POL(val)	bfin_write32(PORTB_POL, val)
220722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_POL_SET()	bfin_read32(PORTB_POL_SET)
220822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_POL_SET(val)	bfin_write32(PORTB_POL_SET, val)
220922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_POL_CLEAR()	bfin_read32(PORTB_POL_CLEAR)
221022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_POL_CLEAR(val)	bfin_write32(PORTB_POL_CLEAR, val)
221122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_LOCK()		bfin_read32(PORTB_LOCK)
221222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_LOCK(val)	bfin_write32(PORTB_LOCK, val)
221322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTB_REVID()		bfin_read32(PORTB_REVID)
221422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTB_REVID(val)	bfin_write32(PORTB_REVID, val)
221522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
221622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
221722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port C Registers */
221822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_FER()		bfin_read32(PORTC_FER)
221922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_FER(val)	bfin_write32(PORTC_FER, val)
222022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_FER_SET()	bfin_read32(PORTC_FER_SET)
222122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_FER_SET(val)	bfin_write32(PORTC_FER_SET, val)
222222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_FER_CLEAR()	bfin_read32(PORTC_FER_CLEAR)
222322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_FER_CLEAR(val)	bfin_write32(PORTC_FER_CLEAR, val)
222422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC()		bfin_read32(PORTC)
222522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC(val)		bfin_write32(PORTC, val)
222622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_SET()		bfin_read32(PORTC_SET)
222722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_SET(val)	bfin_write32(PORTC_SET, val)
222822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_CLEAR()		bfin_read32(PORTC_CLEAR)
222922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_CLEAR(val)	bfin_write32(PORTC_CLEAR, val)
223022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_DIR()		bfin_read32(PORTC_DIR)
223122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_DIR(val)	bfin_write32(PORTC_DIR, val)
223222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_DIR_SET()	bfin_read32(PORTC_DIR_SET)
223322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_DIR_SET(val)	bfin_write32(PORTC_DIR_SET, val)
223422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_DIR_CLEAR()	bfin_read32(PORTC_DIR_CLEAR)
223522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_DIR_CLEAR(val)	bfin_write32(PORTC_DIR_CLEAR, val)
223622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_INEN()		bfin_read32(PORTC_INEN)
223722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_INEN(val)	bfin_write32(PORTC_INEN, val)
223822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_INEN_SET()	bfin_read32(PORTC_INEN_SET)
223922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_INEN_SET(val)	bfin_write32(PORTC_INEN_SET, val)
224022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_INEN_CLEAR()	bfin_read32(PORTC_INEN_CLEAR)
224122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_INEN_CLEAR(val)	bfin_write32(PORTC_INEN_CLEAR, val)
224222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_MUX()		bfin_read32(PORTC_MUX)
224322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_MUX(val)	bfin_write32(PORTC_MUX, val)
224422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_DATA_TGL()	bfin_read32(PORTC_DATA_TGL)
224522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_DATA_TGL(val)	bfin_write32(PORTC_DATA_TGL, val)
224622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_POL()		bfin_read32(PORTC_POL)
224722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_POL(val)	bfin_write32(PORTC_POL, val)
224822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_POL_SET()	bfin_read32(PORTC_POL_SET)
224922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_POL_SET(val)	bfin_write32(PORTC_POL_SET, val)
225022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_POL_CLEAR()	bfin_read32(PORTC_POL_CLEAR)
225122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_POL_CLEAR(val)	bfin_write32(PORTC_POL_CLEAR, val)
225222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_LOCK()		bfin_read32(PORTC_LOCK)
225322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_LOCK(val)	bfin_write32(PORTC_LOCK, val)
225422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTC_REVID()		bfin_read32(PORTC_REVID)
225522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTC_REVID(val)	bfin_write32(PORTC_REVID, val)
225622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
225722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
225822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port D Registers */
225922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_FER()		bfin_read32(PORTD_FER)
226022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_FER(val)	bfin_write32(PORTD_FER, val)
226122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_FER_SET()	bfin_read32(PORTD_FER_SET)
226222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_FER_SET(val)	bfin_write32(PORTD_FER_SET, val)
226322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_FER_CLEAR()	bfin_read32(PORTD_FER_CLEAR)
226422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_FER_CLEAR(val)	bfin_write32(PORTD_FER_CLEAR, val)
226522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD()		bfin_read32(PORTD)
226622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD(val)		bfin_write32(PORTD, val)
226722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_SET()		bfin_read32(PORTD_SET)
226822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_SET(val)	bfin_write32(PORTD_SET, val)
226922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_CLEAR()		bfin_read32(PORTD_CLEAR)
227022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_CLEAR(val)	bfin_write32(PORTD_CLEAR, val)
227122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_DIR()		bfin_read32(PORTD_DIR)
227222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_DIR(val)	bfin_write32(PORTD_DIR, val)
227322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_DIR_SET()	bfin_read32(PORTD_DIR_SET)
227422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_DIR_SET(val)	bfin_write32(PORTD_DIR_SET, val)
227522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_DIR_CLEAR()	bfin_read32(PORTD_DIR_CLEAR)
227622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_DIR_CLEAR(val)	bfin_write32(PORTD_DIR_CLEAR, val)
227722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_INEN()		bfin_read32(PORTD_INEN)
227822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_INEN(val)	bfin_write32(PORTD_INEN, val)
227922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_INEN_SET()	bfin_read32(PORTD_INEN_SET)
228022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_INEN_SET(val)	bfin_write32(PORTD_INEN_SET, val)
228122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_INEN_CLEAR()	bfin_read32(PORTD_INEN_CLEAR)
228222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_INEN_CLEAR(val)	bfin_write32(PORTD_INEN_CLEAR, val)
228322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_MUX()		bfin_read32(PORTD_MUX)
228422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_MUX(val)	bfin_write32(PORTD_MUX, val)
228522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_DATA_TGL()	bfin_read32(PORTD_DATA_TGL)
228622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_DATA_TGL(val)	bfin_write32(PORTD_DATA_TGL, val)
228722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_POL()		bfin_read32(PORTD_POL)
228822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_POL(val)	bfin_write32(PORTD_POL, val)
228922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_POL_SET()	bfin_read32(PORTD_POL_SET)
229022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_POL_SET(val)	bfin_write32(PORTD_POL_SET, val)
229122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_POL_CLEAR()	bfin_read32(PORTD_POL_CLEAR)
229222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_POL_CLEAR(val)	bfin_write32(PORTD_POL_CLEAR, val)
229322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_LOCK()		bfin_read32(PORTD_LOCK)
229422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_LOCK(val)	bfin_write32(PORTD_LOCK, val)
229522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTD_REVID()		bfin_read32(PORTD_REVID)
229622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTD_REVID(val)	bfin_write32(PORTD_REVID, val)
229722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
229822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
229922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port E Registers */
230022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_FER()		bfin_read32(PORTE_FER)
230122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_FER(val)	bfin_write32(PORTE_FER, val)
230222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_FER_SET()	bfin_read32(PORTE_FER_SET)
230322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_FER_SET(val)	bfin_write32(PORTE_FER_SET, val)
230422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_FER_CLEAR()	bfin_read32(PORTE_FER_CLEAR)
230522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_FER_CLEAR(val)	bfin_write32(PORTE_FER_CLEAR, val)
230622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE()		bfin_read32(PORTE)
230722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE(val)		bfin_write32(PORTE, val)
230822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_SET()		bfin_read32(PORTE_SET)
230922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_SET(val)	bfin_write32(PORTE_SET, val)
231022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_CLEAR()		bfin_read32(PORTE_CLEAR)
231122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_CLEAR(val)	bfin_write32(PORTE_CLEAR, val)
231222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_DIR()		bfin_read32(PORTE_DIR)
231322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_DIR(val)	bfin_write32(PORTE_DIR, val)
231422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_DIR_SET()	bfin_read32(PORTE_DIR_SET)
231522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_DIR_SET(val)	bfin_write32(PORTE_DIR_SET, val)
231622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_DIR_CLEAR()	bfin_read32(PORTE_DIR_CLEAR)
231722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_DIR_CLEAR(val)	bfin_write32(PORTE_DIR_CLEAR, val)
231822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_INEN()		bfin_read32(PORTE_INEN)
231922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_INEN(val)	bfin_write32(PORTE_INEN, val)
232022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_INEN_SET()	bfin_read32(PORTE_INEN_SET)
232122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_INEN_SET(val)	bfin_write32(PORTE_INEN_SET, val)
232222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_INEN_CLEAR()	bfin_read32(PORTE_INEN_CLEAR)
232322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_INEN_CLEAR(val)	bfin_write32(PORTE_INEN_CLEAR, val)
232422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_MUX()		bfin_read32(PORTE_MUX)
232522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_MUX(val)	bfin_write32(PORTE_MUX, val)
232622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_DATA_TGL()	bfin_read32(PORTE_DATA_TGL)
232722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_DATA_TGL(val)	bfin_write32(PORTE_DATA_TGL, val)
232822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_POL()		bfin_read32(PORTE_POL)
232922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_POL(val)	bfin_write32(PORTE_POL, val)
233022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_POL_SET()	bfin_read32(PORTE_POL_SET)
233122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_POL_SET(val)	bfin_write32(PORTE_POL_SET, val)
233222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_POL_CLEAR()	bfin_read32(PORTE_POL_CLEAR)
233322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_POL_CLEAR(val)	bfin_write32(PORTE_POL_CLEAR, val)
233422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_LOCK()		bfin_read32(PORTE_LOCK)
233522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_LOCK(val)	bfin_write32(PORTE_LOCK, val)
233622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTE_REVID()		bfin_read32(PORTE_REVID)
233722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTE_REVID(val)	bfin_write32(PORTE_REVID, val)
233822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
233922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
234022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port F Registers */
234122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_FER()		bfin_read32(PORTF_FER)
234222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_FER(val)	bfin_write32(PORTF_FER, val)
234322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_FER_SET()	bfin_read32(PORTF_FER_SET)
234422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_FER_SET(val)	bfin_write32(PORTF_FER_SET, val)
234522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_FER_CLEAR()	bfin_read32(PORTF_FER_CLEAR)
234622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_FER_CLEAR(val)	bfin_write32(PORTF_FER_CLEAR, val)
234722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF()		bfin_read32(PORTF)
234822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF(val)		bfin_write32(PORTF, val)
234922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_SET()		bfin_read32(PORTF_SET)
235022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_SET(val)	bfin_write32(PORTF_SET, val)
235122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_CLEAR()		bfin_read32(PORTF_CLEAR)
235222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_CLEAR(val)	bfin_write32(PORTF_CLEAR, val)
235322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_DIR()		bfin_read32(PORTF_DIR)
235422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_DIR(val)	bfin_write32(PORTF_DIR, val)
235522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_DIR_SET()	bfin_read32(PORTF_DIR_SET)
235622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_DIR_SET(val)	bfin_write32(PORTF_DIR_SET, val)
235722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_DIR_CLEAR()	bfin_read32(PORTF_DIR_CLEAR)
235822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_DIR_CLEAR(val)	bfin_write32(PORTF_DIR_CLEAR, val)
235922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_INEN()		bfin_read32(PORTF_INEN)
236022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_INEN(val)	bfin_write32(PORTF_INEN, val)
236122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_INEN_SET()	bfin_read32(PORTF_INEN_SET)
236222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_INEN_SET(val)	bfin_write32(PORTF_INEN_SET, val)
236322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_INEN_CLEAR()	bfin_read32(PORTF_INEN_CLEAR)
236422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_INEN_CLEAR(val)	bfin_write32(PORTF_INEN_CLEAR, val)
236522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_MUX()		bfin_read32(PORTF_MUX)
236622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_MUX(val)	bfin_write32(PORTF_MUX, val)
236722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_DATA_TGL()	bfin_read32(PORTF_DATA_TGL)
236822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_DATA_TGL(val)	bfin_write32(PORTF_DATA_TGL, val)
236922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_POL()		bfin_read32(PORTF_POL)
237022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_POL(val)	bfin_write32(PORTF_POL, val)
237122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_POL_SET()	bfin_read32(PORTF_POL_SET)
237222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_POL_SET(val)	bfin_write32(PORTF_POL_SET, val)
237322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_POL_CLEAR()	bfin_read32(PORTF_POL_CLEAR)
237422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_POL_CLEAR(val)	bfin_write32(PORTF_POL_CLEAR, val)
237522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_LOCK()		bfin_read32(PORTF_LOCK)
237622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_LOCK(val)	bfin_write32(PORTF_LOCK, val)
237722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTF_REVID()		bfin_read32(PORTF_REVID)
237822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTF_REVID(val)	bfin_write32(PORTF_REVID, val)
237922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
238022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
238122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Port G Registers */
238222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_FER()		bfin_read32(PORTG_FER)
238322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_FER(val)	bfin_write32(PORTG_FER, val)
238422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_FER_SET()	bfin_read32(PORTG_FER_SET)
238522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_FER_SET(val)	bfin_write32(PORTG_FER_SET, val)
238622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_FER_CLEAR()	bfin_read32(PORTG_FER_CLEAR)
238722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_FER_CLEAR(val)	bfin_write32(PORTG_FER_CLEAR, val)
238822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG()		bfin_read32(PORTG)
238922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG(val)		bfin_write32(PORTG, val)
239022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_SET()		bfin_read32(PORTG_SET)
239122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_SET(val)	bfin_write32(PORTG_SET, val)
239222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_CLEAR()		bfin_read32(PORTG_CLEAR)
239322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_CLEAR(val)	bfin_write32(PORTG_CLEAR, val)
239422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_DIR()		bfin_read32(PORTG_DIR)
239522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_DIR(val)	bfin_write32(PORTG_DIR, val)
239622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_DIR_SET()	bfin_read32(PORTG_DIR_SET)
239722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_DIR_SET(val)	bfin_write32(PORTG_DIR_SET, val)
239822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_DIR_CLEAR()	bfin_read32(PORTG_DIR_CLEAR)
239922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_DIR_CLEAR(val)	bfin_write32(PORTG_DIR_CLEAR, val)
240022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_INEN()		bfin_read32(PORTG_INEN)
240122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_INEN(val)	bfin_write32(PORTG_INEN, val)
240222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_INEN_SET()	bfin_read32(PORTG_INEN_SET)
240322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_INEN_SET(val)	bfin_write32(PORTG_INEN_SET, val)
240422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_INEN_CLEAR()	bfin_read32(PORTG_INEN_CLEAR)
240522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_INEN_CLEAR(val)	bfin_write32(PORTG_INEN_CLEAR, val)
240622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_MUX()		bfin_read32(PORTG_MUX)
240722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_MUX(val)	bfin_write32(PORTG_MUX, val)
240822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_DATA_TGL()	bfin_read32(PORTG_DATA_TGL)
240922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_DATA_TGL(val)	bfin_write32(PORTG_DATA_TGL, val)
241022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_POL()		bfin_read32(PORTG_POL)
241122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_POL(val)	bfin_write32(PORTG_POL, val)
241222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_POL_SET()	bfin_read32(PORTG_POL_SET)
241322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_POL_SET(val)	bfin_write32(PORTG_POL_SET, val)
241422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_POL_CLEAR()	bfin_read32(PORTG_POL_CLEAR)
241522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_POL_CLEAR(val)	bfin_write32(PORTG_POL_CLEAR, val)
241622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_LOCK()		bfin_read32(PORTG_LOCK)
241722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_LOCK(val)	bfin_write32(PORTG_LOCK, val)
241822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_PORTG_REVID()		bfin_read32(PORTG_REVID)
241922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_PORTG_REVID(val)	bfin_write32(PORTG_REVID, val)
242022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
242122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
242222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
242322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
242422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Config 1 Registers */
242522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
242622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MC1()		bfin_read16(CAN0_MC1)
242722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MC1(val)	bfin_write16(CAN0_MC1, val)
242822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MD1()		bfin_read16(CAN0_MD1)
242922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MD1(val)	bfin_write16(CAN0_MD1, val)
243022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TRS1()		bfin_read16(CAN0_TRS1)
243122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TRS1(val)	bfin_write16(CAN0_TRS1, val)
243222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TRR1()		bfin_read16(CAN0_TRR1)
243322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TRR1(val)	bfin_write16(CAN0_TRR1, val)
243422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TA1()		bfin_read16(CAN0_TA1)
243522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TA1(val)	bfin_write16(CAN0_TA1, val)
243622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AA1()		bfin_read16(CAN0_AA1)
243722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AA1(val)	bfin_write16(CAN0_AA1, val)
243822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RMP1()		bfin_read16(CAN0_RMP1)
243922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RMP1(val)	bfin_write16(CAN0_RMP1, val)
244022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RML1()		bfin_read16(CAN0_RML1)
244122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RML1(val)	bfin_write16(CAN0_RML1, val)
244222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBTIF1()		bfin_read16(CAN0_MBTIF1)
244322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBTIF1(val)	bfin_write16(CAN0_MBTIF1, val)
244422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBRIF1()		bfin_read16(CAN0_MBRIF1)
244522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBRIF1(val)	bfin_write16(CAN0_MBRIF1, val)
244622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBIM1()		bfin_read16(CAN0_MBIM1)
244722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBIM1(val)	bfin_write16(CAN0_MBIM1, val)
244822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RFH1()		bfin_read16(CAN0_RFH1)
244922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RFH1(val)	bfin_write16(CAN0_RFH1, val)
245022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_OPSS1()		bfin_read16(CAN0_OPSS1)
245122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_OPSS1(val)	bfin_write16(CAN0_OPSS1, val)
245222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
245322a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Config 2 Registers */
245422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
245522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MC2()		bfin_read16(CAN0_MC2)
245622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MC2(val)	bfin_write16(CAN0_MC2, val)
245722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MD2()		bfin_read16(CAN0_MD2)
245822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MD2(val)	bfin_write16(CAN0_MD2, val)
245922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TRS2()		bfin_read16(CAN0_TRS2)
246022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TRS2(val)	bfin_write16(CAN0_TRS2, val)
246122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TRR2()		bfin_read16(CAN0_TRR2)
246222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TRR2(val)	bfin_write16(CAN0_TRR2, val)
246322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TA2()		bfin_read16(CAN0_TA2)
246422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TA2(val)	bfin_write16(CAN0_TA2, val)
246522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AA2()		bfin_read16(CAN0_AA2)
246622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AA2(val)	bfin_write16(CAN0_AA2, val)
246722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RMP2()		bfin_read16(CAN0_RMP2)
246822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RMP2(val)	bfin_write16(CAN0_RMP2, val)
246922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RML2()		bfin_read16(CAN0_RML2)
247022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RML2(val)	bfin_write16(CAN0_RML2, val)
247122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBTIF2()		bfin_read16(CAN0_MBTIF2)
247222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBTIF2(val)	bfin_write16(CAN0_MBTIF2, val)
247322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBRIF2()		bfin_read16(CAN0_MBRIF2)
247422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBRIF2(val)	bfin_write16(CAN0_MBRIF2, val)
247522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBIM2()		bfin_read16(CAN0_MBIM2)
247622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBIM2(val)	bfin_write16(CAN0_MBIM2, val)
247722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_RFH2()		bfin_read16(CAN0_RFH2)
247822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_RFH2(val)	bfin_write16(CAN0_RFH2, val)
247922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_OPSS2()		bfin_read16(CAN0_OPSS2)
248022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_OPSS2(val)	bfin_write16(CAN0_OPSS2, val)
248122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
248222a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Clock/Interrubfin_read_()t/Counter Registers */
248322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
248422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_CLOCK()		bfin_read16(CAN0_CLOCK)
248522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_CLOCK(val)	bfin_write16(CAN0_CLOCK, val)
248622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_TIMING()		bfin_read16(CAN0_TIMING)
248722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_TIMING(val)	bfin_write16(CAN0_TIMING, val)
248822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_DEBUG()		bfin_read16(CAN0_DEBUG)
248922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_DEBUG(val)	bfin_write16(CAN0_DEBUG, val)
249022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_STATUS()		bfin_read16(CAN0_STATUS)
249122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_STATUS(val)	bfin_write16(CAN0_STATUS, val)
249222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_CEC()		bfin_read16(CAN0_CEC)
249322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_CEC(val)	bfin_write16(CAN0_CEC, val)
249422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_GIS()		bfin_read16(CAN0_GIS)
249522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_GIS(val)	bfin_write16(CAN0_GIS, val)
249622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_GIM()		bfin_read16(CAN0_GIM)
249722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_GIM(val)	bfin_write16(CAN0_GIM, val)
249822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_GIF()		bfin_read16(CAN0_GIF)
249922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_GIF(val)	bfin_write16(CAN0_GIF, val)
250022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_CONTROL()	bfin_read16(CAN0_CONTROL)
250122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_CONTROL(val)	bfin_write16(CAN0_CONTROL, val)
250222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_INTR()		bfin_read16(CAN0_INTR)
250322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_INTR(val)	bfin_write16(CAN0_INTR, val)
250422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MBTD()		bfin_read16(CAN0_MBTD)
250522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MBTD(val)	bfin_write16(CAN0_MBTD, val)
250622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_EWR()		bfin_read16(CAN0_EWR)
250722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_EWR(val)	bfin_write16(CAN0_EWR, val)
250822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_ESR()		bfin_read16(CAN0_ESR)
250922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_ESR(val)	bfin_write16(CAN0_ESR, val)
251022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_UCCNT()		bfin_read16(CAN0_UCCNT)
251122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_UCCNT(val)	bfin_write16(CAN0_UCCNT, val)
251222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_UCRC()		bfin_read16(CAN0_UCRC)
251322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_UCRC(val)	bfin_write16(CAN0_UCRC, val)
251422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_UCCNF()		bfin_read16(CAN0_UCCNF)
251522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_UCCNF(val)	bfin_write16(CAN0_UCCNF, val)
251622a826288522863fb748851824ce634eda4f1b07Sonic Zhang
251722a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Accebfin_read_()tance Registers */
251822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
251922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM00L()		bfin_read16(CAN0_AM00L)
252022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM00L(val)	bfin_write16(CAN0_AM00L, val)
252122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM00H()		bfin_read16(CAN0_AM00H)
252222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM00H(val)	bfin_write16(CAN0_AM00H, val)
252322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM01L()		bfin_read16(CAN0_AM01L)
252422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM01L(val)	bfin_write16(CAN0_AM01L, val)
252522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM01H()		bfin_read16(CAN0_AM01H)
252622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM01H(val)	bfin_write16(CAN0_AM01H, val)
252722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM02L()		bfin_read16(CAN0_AM02L)
252822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM02L(val)	bfin_write16(CAN0_AM02L, val)
252922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM02H()		bfin_read16(CAN0_AM02H)
253022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM02H(val)	bfin_write16(CAN0_AM02H, val)
253122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM03L()		bfin_read16(CAN0_AM03L)
253222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM03L(val)	bfin_write16(CAN0_AM03L, val)
253322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM03H()		bfin_read16(CAN0_AM03H)
253422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM03H(val)	bfin_write16(CAN0_AM03H, val)
253522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM04L()		bfin_read16(CAN0_AM04L)
253622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM04L(val)	bfin_write16(CAN0_AM04L, val)
253722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM04H()		bfin_read16(CAN0_AM04H)
253822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM04H(val)	bfin_write16(CAN0_AM04H, val)
253922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM05L()		bfin_read16(CAN0_AM05L)
254022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM05L(val)	bfin_write16(CAN0_AM05L, val)
254122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM05H()		bfin_read16(CAN0_AM05H)
254222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM05H(val)	bfin_write16(CAN0_AM05H, val)
254322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM06L()		bfin_read16(CAN0_AM06L)
254422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM06L(val)	bfin_write16(CAN0_AM06L, val)
254522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM06H()		bfin_read16(CAN0_AM06H)
254622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM06H(val)	bfin_write16(CAN0_AM06H, val)
254722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM07L()		bfin_read16(CAN0_AM07L)
254822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM07L(val)	bfin_write16(CAN0_AM07L, val)
254922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM07H()		bfin_read16(CAN0_AM07H)
255022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM07H(val)	bfin_write16(CAN0_AM07H, val)
255122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM08L()		bfin_read16(CAN0_AM08L)
255222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM08L(val)	bfin_write16(CAN0_AM08L, val)
255322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM08H()		bfin_read16(CAN0_AM08H)
255422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM08H(val)	bfin_write16(CAN0_AM08H, val)
255522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM09L()		bfin_read16(CAN0_AM09L)
255622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM09L(val)	bfin_write16(CAN0_AM09L, val)
255722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM09H()		bfin_read16(CAN0_AM09H)
255822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM09H(val)	bfin_write16(CAN0_AM09H, val)
255922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM10L()		bfin_read16(CAN0_AM10L)
256022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM10L(val)	bfin_write16(CAN0_AM10L, val)
256122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM10H()		bfin_read16(CAN0_AM10H)
256222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM10H(val)	bfin_write16(CAN0_AM10H, val)
256322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM11L()		bfin_read16(CAN0_AM11L)
256422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM11L(val)	bfin_write16(CAN0_AM11L, val)
256522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM11H()		bfin_read16(CAN0_AM11H)
256622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM11H(val)	bfin_write16(CAN0_AM11H, val)
256722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM12L()		bfin_read16(CAN0_AM12L)
256822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM12L(val)	bfin_write16(CAN0_AM12L, val)
256922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM12H()		bfin_read16(CAN0_AM12H)
257022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM12H(val)	bfin_write16(CAN0_AM12H, val)
257122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM13L()		bfin_read16(CAN0_AM13L)
257222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM13L(val)	bfin_write16(CAN0_AM13L, val)
257322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM13H()		bfin_read16(CAN0_AM13H)
257422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM13H(val)	bfin_write16(CAN0_AM13H, val)
257522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM14L()		bfin_read16(CAN0_AM14L)
257622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM14L(val)	bfin_write16(CAN0_AM14L, val)
257722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM14H()		bfin_read16(CAN0_AM14H)
257822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM14H(val)	bfin_write16(CAN0_AM14H, val)
257922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM15L()		bfin_read16(CAN0_AM15L)
258022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM15L(val)	bfin_write16(CAN0_AM15L, val)
258122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM15H()		bfin_read16(CAN0_AM15H)
258222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM15H(val)	bfin_write16(CAN0_AM15H, val)
258322a826288522863fb748851824ce634eda4f1b07Sonic Zhang
258422a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Accebfin_read_()tance Registers */
258522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
258622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM16L()		bfin_read16(CAN0_AM16L)
258722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM16L(val)	bfin_write16(CAN0_AM16L, val)
258822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM16H()		bfin_read16(CAN0_AM16H)
258922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM16H(val)	bfin_write16(CAN0_AM16H, val)
259022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM17L()		bfin_read16(CAN0_AM17L)
259122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM17L(val)	bfin_write16(CAN0_AM17L, val)
259222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM17H()		bfin_read16(CAN0_AM17H)
259322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM17H(val)	bfin_write16(CAN0_AM17H, val)
259422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM18L()		bfin_read16(CAN0_AM18L)
259522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM18L(val)	bfin_write16(CAN0_AM18L, val)
259622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM18H()		bfin_read16(CAN0_AM18H)
259722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM18H(val)	bfin_write16(CAN0_AM18H, val)
259822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM19L()		bfin_read16(CAN0_AM19L)
259922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM19L(val)	bfin_write16(CAN0_AM19L, val)
260022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM19H()		bfin_read16(CAN0_AM19H)
260122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM19H(val)	bfin_write16(CAN0_AM19H, val)
260222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM20L()		bfin_read16(CAN0_AM20L)
260322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM20L(val)	bfin_write16(CAN0_AM20L, val)
260422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM20H()		bfin_read16(CAN0_AM20H)
260522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM20H(val)	bfin_write16(CAN0_AM20H, val)
260622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM21L()		bfin_read16(CAN0_AM21L)
260722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM21L(val)	bfin_write16(CAN0_AM21L, val)
260822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM21H()		bfin_read16(CAN0_AM21H)
260922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM21H(val)	bfin_write16(CAN0_AM21H, val)
261022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM22L()		bfin_read16(CAN0_AM22L)
261122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM22L(val)	bfin_write16(CAN0_AM22L, val)
261222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM22H()		bfin_read16(CAN0_AM22H)
261322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM22H(val)	bfin_write16(CAN0_AM22H, val)
261422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM23L()		bfin_read16(CAN0_AM23L)
261522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM23L(val)	bfin_write16(CAN0_AM23L, val)
261622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM23H()		bfin_read16(CAN0_AM23H)
261722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM23H(val)	bfin_write16(CAN0_AM23H, val)
261822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM24L()		bfin_read16(CAN0_AM24L)
261922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM24L(val)	bfin_write16(CAN0_AM24L, val)
262022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM24H()		bfin_read16(CAN0_AM24H)
262122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM24H(val)	bfin_write16(CAN0_AM24H, val)
262222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM25L()		bfin_read16(CAN0_AM25L)
262322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM25L(val)	bfin_write16(CAN0_AM25L, val)
262422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM25H()		bfin_read16(CAN0_AM25H)
262522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM25H(val)	bfin_write16(CAN0_AM25H, val)
262622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM26L()		bfin_read16(CAN0_AM26L)
262722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM26L(val)	bfin_write16(CAN0_AM26L, val)
262822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM26H()		bfin_read16(CAN0_AM26H)
262922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM26H(val)	bfin_write16(CAN0_AM26H, val)
263022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM27L()		bfin_read16(CAN0_AM27L)
263122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM27L(val)	bfin_write16(CAN0_AM27L, val)
263222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM27H()		bfin_read16(CAN0_AM27H)
263322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM27H(val)	bfin_write16(CAN0_AM27H, val)
263422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM28L()		bfin_read16(CAN0_AM28L)
263522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM28L(val)	bfin_write16(CAN0_AM28L, val)
263622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM28H()		bfin_read16(CAN0_AM28H)
263722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM28H(val)	bfin_write16(CAN0_AM28H, val)
263822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM29L()		bfin_read16(CAN0_AM29L)
263922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM29L(val)	bfin_write16(CAN0_AM29L, val)
264022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM29H()		bfin_read16(CAN0_AM29H)
264122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM29H(val)	bfin_write16(CAN0_AM29H, val)
264222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM30L()		bfin_read16(CAN0_AM30L)
264322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM30L(val)	bfin_write16(CAN0_AM30L, val)
264422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM30H()		bfin_read16(CAN0_AM30H)
264522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM30H(val)	bfin_write16(CAN0_AM30H, val)
264622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM31L()		bfin_read16(CAN0_AM31L)
264722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM31L(val)	bfin_write16(CAN0_AM31L, val)
264822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_AM31H()		bfin_read16(CAN0_AM31H)
264922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_AM31H(val)	bfin_write16(CAN0_AM31H, val)
265022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
265122a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Mailbox Data Registers */
265222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
265322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_DATA0()		bfin_read16(CAN0_MB00_DATA0)
265422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_DATA0(val)		bfin_write16(CAN0_MB00_DATA0, val)
265522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_DATA1()		bfin_read16(CAN0_MB00_DATA1)
265622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_DATA1(val)		bfin_write16(CAN0_MB00_DATA1, val)
265722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_DATA2()		bfin_read16(CAN0_MB00_DATA2)
265822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_DATA2(val)		bfin_write16(CAN0_MB00_DATA2, val)
265922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_DATA3()		bfin_read16(CAN0_MB00_DATA3)
266022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_DATA3(val)		bfin_write16(CAN0_MB00_DATA3, val)
266122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_LENGTH()		bfin_read16(CAN0_MB00_LENGTH)
266222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_LENGTH(val)	bfin_write16(CAN0_MB00_LENGTH, val)
266322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_TIMESTAMP()		bfin_read16(CAN0_MB00_TIMESTAMP)
266422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_TIMESTAMP(val)	bfin_write16(CAN0_MB00_TIMESTAMP, val)
266522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_ID0()		bfin_read16(CAN0_MB00_ID0)
266622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_ID0(val)		bfin_write16(CAN0_MB00_ID0, val)
266722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB00_ID1()		bfin_read16(CAN0_MB00_ID1)
266822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB00_ID1(val)		bfin_write16(CAN0_MB00_ID1, val)
266922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_DATA0()		bfin_read16(CAN0_MB01_DATA0)
267022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_DATA0(val)		bfin_write16(CAN0_MB01_DATA0, val)
267122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_DATA1()		bfin_read16(CAN0_MB01_DATA1)
267222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_DATA1(val)		bfin_write16(CAN0_MB01_DATA1, val)
267322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_DATA2()		bfin_read16(CAN0_MB01_DATA2)
267422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_DATA2(val)		bfin_write16(CAN0_MB01_DATA2, val)
267522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_DATA3()		bfin_read16(CAN0_MB01_DATA3)
267622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_DATA3(val)		bfin_write16(CAN0_MB01_DATA3, val)
267722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_LENGTH()		bfin_read16(CAN0_MB01_LENGTH)
267822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_LENGTH(val)	bfin_write16(CAN0_MB01_LENGTH, val)
267922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_TIMESTAMP()		bfin_read16(CAN0_MB01_TIMESTAMP)
268022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_TIMESTAMP(val)	bfin_write16(CAN0_MB01_TIMESTAMP, val)
268122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_ID0()		bfin_read16(CAN0_MB01_ID0)
268222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_ID0(val)		bfin_write16(CAN0_MB01_ID0, val)
268322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB01_ID1()		bfin_read16(CAN0_MB01_ID1)
268422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB01_ID1(val)		bfin_write16(CAN0_MB01_ID1, val)
268522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_DATA0()		bfin_read16(CAN0_MB02_DATA0)
268622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_DATA0(val)		bfin_write16(CAN0_MB02_DATA0, val)
268722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_DATA1()		bfin_read16(CAN0_MB02_DATA1)
268822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_DATA1(val)		bfin_write16(CAN0_MB02_DATA1, val)
268922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_DATA2()		bfin_read16(CAN0_MB02_DATA2)
269022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_DATA2(val)		bfin_write16(CAN0_MB02_DATA2, val)
269122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_DATA3()		bfin_read16(CAN0_MB02_DATA3)
269222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_DATA3(val)		bfin_write16(CAN0_MB02_DATA3, val)
269322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_LENGTH()		bfin_read16(CAN0_MB02_LENGTH)
269422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_LENGTH(val)	bfin_write16(CAN0_MB02_LENGTH, val)
269522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_TIMESTAMP()		bfin_read16(CAN0_MB02_TIMESTAMP)
269622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_TIMESTAMP(val)	bfin_write16(CAN0_MB02_TIMESTAMP, val)
269722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_ID0()		bfin_read16(CAN0_MB02_ID0)
269822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_ID0(val)		bfin_write16(CAN0_MB02_ID0, val)
269922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB02_ID1()		bfin_read16(CAN0_MB02_ID1)
270022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB02_ID1(val)		bfin_write16(CAN0_MB02_ID1, val)
270122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_DATA0()		bfin_read16(CAN0_MB03_DATA0)
270222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_DATA0(val)		bfin_write16(CAN0_MB03_DATA0, val)
270322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_DATA1()		bfin_read16(CAN0_MB03_DATA1)
270422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_DATA1(val)		bfin_write16(CAN0_MB03_DATA1, val)
270522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_DATA2()		bfin_read16(CAN0_MB03_DATA2)
270622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_DATA2(val)		bfin_write16(CAN0_MB03_DATA2, val)
270722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_DATA3()		bfin_read16(CAN0_MB03_DATA3)
270822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_DATA3(val)		bfin_write16(CAN0_MB03_DATA3, val)
270922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_LENGTH()		bfin_read16(CAN0_MB03_LENGTH)
271022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_LENGTH(val)	bfin_write16(CAN0_MB03_LENGTH, val)
271122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_TIMESTAMP()		bfin_read16(CAN0_MB03_TIMESTAMP)
271222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_TIMESTAMP(val)	bfin_write16(CAN0_MB03_TIMESTAMP, val)
271322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_ID0()		bfin_read16(CAN0_MB03_ID0)
271422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_ID0(val)		bfin_write16(CAN0_MB03_ID0, val)
271522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB03_ID1()		bfin_read16(CAN0_MB03_ID1)
271622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB03_ID1(val)		bfin_write16(CAN0_MB03_ID1, val)
271722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_DATA0()		bfin_read16(CAN0_MB04_DATA0)
271822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_DATA0(val)		bfin_write16(CAN0_MB04_DATA0, val)
271922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_DATA1()		bfin_read16(CAN0_MB04_DATA1)
272022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_DATA1(val)		bfin_write16(CAN0_MB04_DATA1, val)
272122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_DATA2()		bfin_read16(CAN0_MB04_DATA2)
272222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_DATA2(val)		bfin_write16(CAN0_MB04_DATA2, val)
272322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_DATA3()		bfin_read16(CAN0_MB04_DATA3)
272422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_DATA3(val)		bfin_write16(CAN0_MB04_DATA3, val)
272522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_LENGTH()		bfin_read16(CAN0_MB04_LENGTH)
272622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_LENGTH(val)	bfin_write16(CAN0_MB04_LENGTH, val)
272722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_TIMESTAMP()		bfin_read16(CAN0_MB04_TIMESTAMP)
272822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_TIMESTAMP(val)	bfin_write16(CAN0_MB04_TIMESTAMP, val)
272922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_ID0()		bfin_read16(CAN0_MB04_ID0)
273022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_ID0(val)		bfin_write16(CAN0_MB04_ID0, val)
273122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB04_ID1()		bfin_read16(CAN0_MB04_ID1)
273222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB04_ID1(val)		bfin_write16(CAN0_MB04_ID1, val)
273322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_DATA0()		bfin_read16(CAN0_MB05_DATA0)
273422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_DATA0(val)		bfin_write16(CAN0_MB05_DATA0, val)
273522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_DATA1()		bfin_read16(CAN0_MB05_DATA1)
273622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_DATA1(val)		bfin_write16(CAN0_MB05_DATA1, val)
273722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_DATA2()		bfin_read16(CAN0_MB05_DATA2)
273822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_DATA2(val)		bfin_write16(CAN0_MB05_DATA2, val)
273922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_DATA3()		bfin_read16(CAN0_MB05_DATA3)
274022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_DATA3(val)		bfin_write16(CAN0_MB05_DATA3, val)
274122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_LENGTH()		bfin_read16(CAN0_MB05_LENGTH)
274222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_LENGTH(val)	bfin_write16(CAN0_MB05_LENGTH, val)
274322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_TIMESTAMP()		bfin_read16(CAN0_MB05_TIMESTAMP)
274422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_TIMESTAMP(val)	bfin_write16(CAN0_MB05_TIMESTAMP, val)
274522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_ID0()		bfin_read16(CAN0_MB05_ID0)
274622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_ID0(val)		bfin_write16(CAN0_MB05_ID0, val)
274722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB05_ID1()		bfin_read16(CAN0_MB05_ID1)
274822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB05_ID1(val)		bfin_write16(CAN0_MB05_ID1, val)
274922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_DATA0()		bfin_read16(CAN0_MB06_DATA0)
275022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_DATA0(val)		bfin_write16(CAN0_MB06_DATA0, val)
275122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_DATA1()		bfin_read16(CAN0_MB06_DATA1)
275222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_DATA1(val)		bfin_write16(CAN0_MB06_DATA1, val)
275322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_DATA2()		bfin_read16(CAN0_MB06_DATA2)
275422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_DATA2(val)		bfin_write16(CAN0_MB06_DATA2, val)
275522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_DATA3()		bfin_read16(CAN0_MB06_DATA3)
275622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_DATA3(val)		bfin_write16(CAN0_MB06_DATA3, val)
275722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_LENGTH()		bfin_read16(CAN0_MB06_LENGTH)
275822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_LENGTH(val)	bfin_write16(CAN0_MB06_LENGTH, val)
275922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_TIMESTAMP()		bfin_read16(CAN0_MB06_TIMESTAMP)
276022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_TIMESTAMP(val)	bfin_write16(CAN0_MB06_TIMESTAMP, val)
276122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_ID0()		bfin_read16(CAN0_MB06_ID0)
276222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_ID0(val)		bfin_write16(CAN0_MB06_ID0, val)
276322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB06_ID1()		bfin_read16(CAN0_MB06_ID1)
276422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB06_ID1(val)		bfin_write16(CAN0_MB06_ID1, val)
276522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_DATA0()		bfin_read16(CAN0_MB07_DATA0)
276622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_DATA0(val)		bfin_write16(CAN0_MB07_DATA0, val)
276722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_DATA1()		bfin_read16(CAN0_MB07_DATA1)
276822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_DATA1(val)		bfin_write16(CAN0_MB07_DATA1, val)
276922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_DATA2()		bfin_read16(CAN0_MB07_DATA2)
277022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_DATA2(val)		bfin_write16(CAN0_MB07_DATA2, val)
277122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_DATA3()		bfin_read16(CAN0_MB07_DATA3)
277222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_DATA3(val)		bfin_write16(CAN0_MB07_DATA3, val)
277322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_LENGTH()		bfin_read16(CAN0_MB07_LENGTH)
277422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_LENGTH(val)	bfin_write16(CAN0_MB07_LENGTH, val)
277522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_TIMESTAMP()		bfin_read16(CAN0_MB07_TIMESTAMP)
277622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_TIMESTAMP(val)	bfin_write16(CAN0_MB07_TIMESTAMP, val)
277722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_ID0()		bfin_read16(CAN0_MB07_ID0)
277822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_ID0(val)		bfin_write16(CAN0_MB07_ID0, val)
277922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB07_ID1()		bfin_read16(CAN0_MB07_ID1)
278022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB07_ID1(val)		bfin_write16(CAN0_MB07_ID1, val)
278122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_DATA0()		bfin_read16(CAN0_MB08_DATA0)
278222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_DATA0(val)		bfin_write16(CAN0_MB08_DATA0, val)
278322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_DATA1()		bfin_read16(CAN0_MB08_DATA1)
278422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_DATA1(val)		bfin_write16(CAN0_MB08_DATA1, val)
278522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_DATA2()		bfin_read16(CAN0_MB08_DATA2)
278622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_DATA2(val)		bfin_write16(CAN0_MB08_DATA2, val)
278722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_DATA3()		bfin_read16(CAN0_MB08_DATA3)
278822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_DATA3(val)		bfin_write16(CAN0_MB08_DATA3, val)
278922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_LENGTH()		bfin_read16(CAN0_MB08_LENGTH)
279022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_LENGTH(val)	bfin_write16(CAN0_MB08_LENGTH, val)
279122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_TIMESTAMP()		bfin_read16(CAN0_MB08_TIMESTAMP)
279222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_TIMESTAMP(val)	bfin_write16(CAN0_MB08_TIMESTAMP, val)
279322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_ID0()		bfin_read16(CAN0_MB08_ID0)
279422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_ID0(val)		bfin_write16(CAN0_MB08_ID0, val)
279522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB08_ID1()		bfin_read16(CAN0_MB08_ID1)
279622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB08_ID1(val)		bfin_write16(CAN0_MB08_ID1, val)
279722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_DATA0()		bfin_read16(CAN0_MB09_DATA0)
279822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_DATA0(val)		bfin_write16(CAN0_MB09_DATA0, val)
279922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_DATA1()		bfin_read16(CAN0_MB09_DATA1)
280022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_DATA1(val)		bfin_write16(CAN0_MB09_DATA1, val)
280122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_DATA2()		bfin_read16(CAN0_MB09_DATA2)
280222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_DATA2(val)		bfin_write16(CAN0_MB09_DATA2, val)
280322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_DATA3()		bfin_read16(CAN0_MB09_DATA3)
280422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_DATA3(val)		bfin_write16(CAN0_MB09_DATA3, val)
280522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_LENGTH()		bfin_read16(CAN0_MB09_LENGTH)
280622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_LENGTH(val)	bfin_write16(CAN0_MB09_LENGTH, val)
280722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_TIMESTAMP()		bfin_read16(CAN0_MB09_TIMESTAMP)
280822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_TIMESTAMP(val)	bfin_write16(CAN0_MB09_TIMESTAMP, val)
280922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_ID0()		bfin_read16(CAN0_MB09_ID0)
281022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_ID0(val)		bfin_write16(CAN0_MB09_ID0, val)
281122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB09_ID1()		bfin_read16(CAN0_MB09_ID1)
281222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB09_ID1(val)		bfin_write16(CAN0_MB09_ID1, val)
281322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_DATA0()		bfin_read16(CAN0_MB10_DATA0)
281422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_DATA0(val)		bfin_write16(CAN0_MB10_DATA0, val)
281522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_DATA1()		bfin_read16(CAN0_MB10_DATA1)
281622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_DATA1(val)		bfin_write16(CAN0_MB10_DATA1, val)
281722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_DATA2()		bfin_read16(CAN0_MB10_DATA2)
281822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_DATA2(val)		bfin_write16(CAN0_MB10_DATA2, val)
281922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_DATA3()		bfin_read16(CAN0_MB10_DATA3)
282022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_DATA3(val)		bfin_write16(CAN0_MB10_DATA3, val)
282122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_LENGTH()		bfin_read16(CAN0_MB10_LENGTH)
282222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_LENGTH(val)	bfin_write16(CAN0_MB10_LENGTH, val)
282322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_TIMESTAMP()		bfin_read16(CAN0_MB10_TIMESTAMP)
282422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_TIMESTAMP(val)	bfin_write16(CAN0_MB10_TIMESTAMP, val)
282522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_ID0()		bfin_read16(CAN0_MB10_ID0)
282622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_ID0(val)		bfin_write16(CAN0_MB10_ID0, val)
282722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB10_ID1()		bfin_read16(CAN0_MB10_ID1)
282822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB10_ID1(val)		bfin_write16(CAN0_MB10_ID1, val)
282922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_DATA0()		bfin_read16(CAN0_MB11_DATA0)
283022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_DATA0(val)		bfin_write16(CAN0_MB11_DATA0, val)
283122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_DATA1()		bfin_read16(CAN0_MB11_DATA1)
283222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_DATA1(val)		bfin_write16(CAN0_MB11_DATA1, val)
283322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_DATA2()		bfin_read16(CAN0_MB11_DATA2)
283422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_DATA2(val)		bfin_write16(CAN0_MB11_DATA2, val)
283522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_DATA3()		bfin_read16(CAN0_MB11_DATA3)
283622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_DATA3(val)		bfin_write16(CAN0_MB11_DATA3, val)
283722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_LENGTH()		bfin_read16(CAN0_MB11_LENGTH)
283822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_LENGTH(val)	bfin_write16(CAN0_MB11_LENGTH, val)
283922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_TIMESTAMP()		bfin_read16(CAN0_MB11_TIMESTAMP)
284022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_TIMESTAMP(val)	bfin_write16(CAN0_MB11_TIMESTAMP, val)
284122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_ID0()		bfin_read16(CAN0_MB11_ID0)
284222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_ID0(val)		bfin_write16(CAN0_MB11_ID0, val)
284322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB11_ID1()		bfin_read16(CAN0_MB11_ID1)
284422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB11_ID1(val)		bfin_write16(CAN0_MB11_ID1, val)
284522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_DATA0()		bfin_read16(CAN0_MB12_DATA0)
284622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_DATA0(val)		bfin_write16(CAN0_MB12_DATA0, val)
284722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_DATA1()		bfin_read16(CAN0_MB12_DATA1)
284822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_DATA1(val)		bfin_write16(CAN0_MB12_DATA1, val)
284922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_DATA2()		bfin_read16(CAN0_MB12_DATA2)
285022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_DATA2(val)		bfin_write16(CAN0_MB12_DATA2, val)
285122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_DATA3()		bfin_read16(CAN0_MB12_DATA3)
285222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_DATA3(val)		bfin_write16(CAN0_MB12_DATA3, val)
285322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_LENGTH()		bfin_read16(CAN0_MB12_LENGTH)
285422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_LENGTH(val)	bfin_write16(CAN0_MB12_LENGTH, val)
285522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_TIMESTAMP()		bfin_read16(CAN0_MB12_TIMESTAMP)
285622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_TIMESTAMP(val)	bfin_write16(CAN0_MB12_TIMESTAMP, val)
285722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_ID0()		bfin_read16(CAN0_MB12_ID0)
285822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_ID0(val)		bfin_write16(CAN0_MB12_ID0, val)
285922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB12_ID1()		bfin_read16(CAN0_MB12_ID1)
286022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB12_ID1(val)		bfin_write16(CAN0_MB12_ID1, val)
286122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_DATA0()		bfin_read16(CAN0_MB13_DATA0)
286222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_DATA0(val)		bfin_write16(CAN0_MB13_DATA0, val)
286322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_DATA1()		bfin_read16(CAN0_MB13_DATA1)
286422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_DATA1(val)		bfin_write16(CAN0_MB13_DATA1, val)
286522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_DATA2()		bfin_read16(CAN0_MB13_DATA2)
286622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_DATA2(val)		bfin_write16(CAN0_MB13_DATA2, val)
286722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_DATA3()		bfin_read16(CAN0_MB13_DATA3)
286822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_DATA3(val)		bfin_write16(CAN0_MB13_DATA3, val)
286922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_LENGTH()		bfin_read16(CAN0_MB13_LENGTH)
287022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_LENGTH(val)	bfin_write16(CAN0_MB13_LENGTH, val)
287122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_TIMESTAMP()		bfin_read16(CAN0_MB13_TIMESTAMP)
287222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_TIMESTAMP(val)	bfin_write16(CAN0_MB13_TIMESTAMP, val)
287322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_ID0()		bfin_read16(CAN0_MB13_ID0)
287422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_ID0(val)		bfin_write16(CAN0_MB13_ID0, val)
287522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB13_ID1()		bfin_read16(CAN0_MB13_ID1)
287622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB13_ID1(val)		bfin_write16(CAN0_MB13_ID1, val)
287722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_DATA0()		bfin_read16(CAN0_MB14_DATA0)
287822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_DATA0(val)		bfin_write16(CAN0_MB14_DATA0, val)
287922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_DATA1()		bfin_read16(CAN0_MB14_DATA1)
288022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_DATA1(val)		bfin_write16(CAN0_MB14_DATA1, val)
288122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_DATA2()		bfin_read16(CAN0_MB14_DATA2)
288222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_DATA2(val)		bfin_write16(CAN0_MB14_DATA2, val)
288322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_DATA3()		bfin_read16(CAN0_MB14_DATA3)
288422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_DATA3(val)		bfin_write16(CAN0_MB14_DATA3, val)
288522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_LENGTH()		bfin_read16(CAN0_MB14_LENGTH)
288622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_LENGTH(val)	bfin_write16(CAN0_MB14_LENGTH, val)
288722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_TIMESTAMP()		bfin_read16(CAN0_MB14_TIMESTAMP)
288822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_TIMESTAMP(val)	bfin_write16(CAN0_MB14_TIMESTAMP, val)
288922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_ID0()		bfin_read16(CAN0_MB14_ID0)
289022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_ID0(val)		bfin_write16(CAN0_MB14_ID0, val)
289122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB14_ID1()		bfin_read16(CAN0_MB14_ID1)
289222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB14_ID1(val)		bfin_write16(CAN0_MB14_ID1, val)
289322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_DATA0()		bfin_read16(CAN0_MB15_DATA0)
289422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_DATA0(val)		bfin_write16(CAN0_MB15_DATA0, val)
289522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_DATA1()		bfin_read16(CAN0_MB15_DATA1)
289622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_DATA1(val)		bfin_write16(CAN0_MB15_DATA1, val)
289722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_DATA2()		bfin_read16(CAN0_MB15_DATA2)
289822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_DATA2(val)		bfin_write16(CAN0_MB15_DATA2, val)
289922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_DATA3()		bfin_read16(CAN0_MB15_DATA3)
290022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_DATA3(val)		bfin_write16(CAN0_MB15_DATA3, val)
290122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_LENGTH()		bfin_read16(CAN0_MB15_LENGTH)
290222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_LENGTH(val)	bfin_write16(CAN0_MB15_LENGTH, val)
290322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_TIMESTAMP()		bfin_read16(CAN0_MB15_TIMESTAMP)
290422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_TIMESTAMP(val)	bfin_write16(CAN0_MB15_TIMESTAMP, val)
290522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_ID0()		bfin_read16(CAN0_MB15_ID0)
290622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_ID0(val)		bfin_write16(CAN0_MB15_ID0, val)
290722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB15_ID1()		bfin_read16(CAN0_MB15_ID1)
290822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB15_ID1(val)		bfin_write16(CAN0_MB15_ID1, val)
290922a826288522863fb748851824ce634eda4f1b07Sonic Zhang
291022a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* CAN Controller 0 Mailbox Data Registers */
291122a826288522863fb748851824ce634eda4f1b07Sonic Zhang
291222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_DATA0()		bfin_read16(CAN0_MB16_DATA0)
291322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_DATA0(val)		bfin_write16(CAN0_MB16_DATA0, val)
291422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_DATA1()		bfin_read16(CAN0_MB16_DATA1)
291522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_DATA1(val)		bfin_write16(CAN0_MB16_DATA1, val)
291622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_DATA2()		bfin_read16(CAN0_MB16_DATA2)
291722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_DATA2(val)		bfin_write16(CAN0_MB16_DATA2, val)
291822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_DATA3()		bfin_read16(CAN0_MB16_DATA3)
291922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_DATA3(val)		bfin_write16(CAN0_MB16_DATA3, val)
292022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_LENGTH()		bfin_read16(CAN0_MB16_LENGTH)
292122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_LENGTH(val)	bfin_write16(CAN0_MB16_LENGTH, val)
292222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_TIMESTAMP()		bfin_read16(CAN0_MB16_TIMESTAMP)
292322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_TIMESTAMP(val)	bfin_write16(CAN0_MB16_TIMESTAMP, val)
292422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_ID0()		bfin_read16(CAN0_MB16_ID0)
292522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_ID0(val)		bfin_write16(CAN0_MB16_ID0, val)
292622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB16_ID1()		bfin_read16(CAN0_MB16_ID1)
292722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB16_ID1(val)		bfin_write16(CAN0_MB16_ID1, val)
292822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_DATA0()		bfin_read16(CAN0_MB17_DATA0)
292922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_DATA0(val)		bfin_write16(CAN0_MB17_DATA0, val)
293022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_DATA1()		bfin_read16(CAN0_MB17_DATA1)
293122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_DATA1(val)		bfin_write16(CAN0_MB17_DATA1, val)
293222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_DATA2()		bfin_read16(CAN0_MB17_DATA2)
293322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_DATA2(val)		bfin_write16(CAN0_MB17_DATA2, val)
293422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_DATA3()		bfin_read16(CAN0_MB17_DATA3)
293522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_DATA3(val)		bfin_write16(CAN0_MB17_DATA3, val)
293622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_LENGTH()		bfin_read16(CAN0_MB17_LENGTH)
293722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_LENGTH(val)	bfin_write16(CAN0_MB17_LENGTH, val)
293822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_TIMESTAMP()		bfin_read16(CAN0_MB17_TIMESTAMP)
293922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_TIMESTAMP(val)	bfin_write16(CAN0_MB17_TIMESTAMP, val)
294022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_ID0()		bfin_read16(CAN0_MB17_ID0)
294122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_ID0(val)		bfin_write16(CAN0_MB17_ID0, val)
294222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB17_ID1()		bfin_read16(CAN0_MB17_ID1)
294322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB17_ID1(val)		bfin_write16(CAN0_MB17_ID1, val)
294422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_DATA0()		bfin_read16(CAN0_MB18_DATA0)
294522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_DATA0(val)		bfin_write16(CAN0_MB18_DATA0, val)
294622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_DATA1()		bfin_read16(CAN0_MB18_DATA1)
294722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_DATA1(val)		bfin_write16(CAN0_MB18_DATA1, val)
294822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_DATA2()		bfin_read16(CAN0_MB18_DATA2)
294922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_DATA2(val)		bfin_write16(CAN0_MB18_DATA2, val)
295022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_DATA3()		bfin_read16(CAN0_MB18_DATA3)
295122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_DATA3(val)		bfin_write16(CAN0_MB18_DATA3, val)
295222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_LENGTH()		bfin_read16(CAN0_MB18_LENGTH)
295322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_LENGTH(val)	bfin_write16(CAN0_MB18_LENGTH, val)
295422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_TIMESTAMP()		bfin_read16(CAN0_MB18_TIMESTAMP)
295522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_TIMESTAMP(val)	bfin_write16(CAN0_MB18_TIMESTAMP, val)
295622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_ID0()		bfin_read16(CAN0_MB18_ID0)
295722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_ID0(val)		bfin_write16(CAN0_MB18_ID0, val)
295822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB18_ID1()		bfin_read16(CAN0_MB18_ID1)
295922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB18_ID1(val)		bfin_write16(CAN0_MB18_ID1, val)
296022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_DATA0()		bfin_read16(CAN0_MB19_DATA0)
296122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_DATA0(val)		bfin_write16(CAN0_MB19_DATA0, val)
296222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_DATA1()		bfin_read16(CAN0_MB19_DATA1)
296322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_DATA1(val)		bfin_write16(CAN0_MB19_DATA1, val)
296422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_DATA2()		bfin_read16(CAN0_MB19_DATA2)
296522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_DATA2(val)		bfin_write16(CAN0_MB19_DATA2, val)
296622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_DATA3()		bfin_read16(CAN0_MB19_DATA3)
296722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_DATA3(val)		bfin_write16(CAN0_MB19_DATA3, val)
296822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_LENGTH()		bfin_read16(CAN0_MB19_LENGTH)
296922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_LENGTH(val)	bfin_write16(CAN0_MB19_LENGTH, val)
297022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_TIMESTAMP()		bfin_read16(CAN0_MB19_TIMESTAMP)
297122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_TIMESTAMP(val)	bfin_write16(CAN0_MB19_TIMESTAMP, val)
297222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_ID0()		bfin_read16(CAN0_MB19_ID0)
297322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_ID0(val)		bfin_write16(CAN0_MB19_ID0, val)
297422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB19_ID1()		bfin_read16(CAN0_MB19_ID1)
297522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB19_ID1(val)		bfin_write16(CAN0_MB19_ID1, val)
297622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_DATA0()		bfin_read16(CAN0_MB20_DATA0)
297722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_DATA0(val)		bfin_write16(CAN0_MB20_DATA0, val)
297822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_DATA1()		bfin_read16(CAN0_MB20_DATA1)
297922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_DATA1(val)		bfin_write16(CAN0_MB20_DATA1, val)
298022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_DATA2()		bfin_read16(CAN0_MB20_DATA2)
298122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_DATA2(val)		bfin_write16(CAN0_MB20_DATA2, val)
298222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_DATA3()		bfin_read16(CAN0_MB20_DATA3)
298322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_DATA3(val)		bfin_write16(CAN0_MB20_DATA3, val)
298422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_LENGTH()		bfin_read16(CAN0_MB20_LENGTH)
298522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_LENGTH(val)	bfin_write16(CAN0_MB20_LENGTH, val)
298622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_TIMESTAMP()		bfin_read16(CAN0_MB20_TIMESTAMP)
298722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_TIMESTAMP(val)	bfin_write16(CAN0_MB20_TIMESTAMP, val)
298822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_ID0()		bfin_read16(CAN0_MB20_ID0)
298922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_ID0(val)		bfin_write16(CAN0_MB20_ID0, val)
299022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB20_ID1()		bfin_read16(CAN0_MB20_ID1)
299122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB20_ID1(val)		bfin_write16(CAN0_MB20_ID1, val)
299222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_DATA0()		bfin_read16(CAN0_MB21_DATA0)
299322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_DATA0(val)		bfin_write16(CAN0_MB21_DATA0, val)
299422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_DATA1()		bfin_read16(CAN0_MB21_DATA1)
299522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_DATA1(val)		bfin_write16(CAN0_MB21_DATA1, val)
299622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_DATA2()		bfin_read16(CAN0_MB21_DATA2)
299722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_DATA2(val)		bfin_write16(CAN0_MB21_DATA2, val)
299822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_DATA3()		bfin_read16(CAN0_MB21_DATA3)
299922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_DATA3(val)		bfin_write16(CAN0_MB21_DATA3, val)
300022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_LENGTH()		bfin_read16(CAN0_MB21_LENGTH)
300122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_LENGTH(val)	bfin_write16(CAN0_MB21_LENGTH, val)
300222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_TIMESTAMP()		bfin_read16(CAN0_MB21_TIMESTAMP)
300322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_TIMESTAMP(val)	bfin_write16(CAN0_MB21_TIMESTAMP, val)
300422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_ID0()		bfin_read16(CAN0_MB21_ID0)
300522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_ID0(val)		bfin_write16(CAN0_MB21_ID0, val)
300622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB21_ID1()		bfin_read16(CAN0_MB21_ID1)
300722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB21_ID1(val)		bfin_write16(CAN0_MB21_ID1, val)
300822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_DATA0()		bfin_read16(CAN0_MB22_DATA0)
300922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_DATA0(val)		bfin_write16(CAN0_MB22_DATA0, val)
301022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_DATA1()		bfin_read16(CAN0_MB22_DATA1)
301122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_DATA1(val)		bfin_write16(CAN0_MB22_DATA1, val)
301222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_DATA2()		bfin_read16(CAN0_MB22_DATA2)
301322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_DATA2(val)		bfin_write16(CAN0_MB22_DATA2, val)
301422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_DATA3()		bfin_read16(CAN0_MB22_DATA3)
301522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_DATA3(val)		bfin_write16(CAN0_MB22_DATA3, val)
301622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_LENGTH()		bfin_read16(CAN0_MB22_LENGTH)
301722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_LENGTH(val)	bfin_write16(CAN0_MB22_LENGTH, val)
301822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_TIMESTAMP()		bfin_read16(CAN0_MB22_TIMESTAMP)
301922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_TIMESTAMP(val)	bfin_write16(CAN0_MB22_TIMESTAMP, val)
302022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_ID0()		bfin_read16(CAN0_MB22_ID0)
302122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_ID0(val)		bfin_write16(CAN0_MB22_ID0, val)
302222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB22_ID1()		bfin_read16(CAN0_MB22_ID1)
302322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB22_ID1(val)		bfin_write16(CAN0_MB22_ID1, val)
302422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_DATA0()		bfin_read16(CAN0_MB23_DATA0)
302522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_DATA0(val)		bfin_write16(CAN0_MB23_DATA0, val)
302622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_DATA1()		bfin_read16(CAN0_MB23_DATA1)
302722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_DATA1(val)		bfin_write16(CAN0_MB23_DATA1, val)
302822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_DATA2()		bfin_read16(CAN0_MB23_DATA2)
302922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_DATA2(val)		bfin_write16(CAN0_MB23_DATA2, val)
303022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_DATA3()		bfin_read16(CAN0_MB23_DATA3)
303122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_DATA3(val)		bfin_write16(CAN0_MB23_DATA3, val)
303222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_LENGTH()		bfin_read16(CAN0_MB23_LENGTH)
303322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_LENGTH(val)	bfin_write16(CAN0_MB23_LENGTH, val)
303422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_TIMESTAMP()		bfin_read16(CAN0_MB23_TIMESTAMP)
303522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_TIMESTAMP(val)	bfin_write16(CAN0_MB23_TIMESTAMP, val)
303622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_ID0()		bfin_read16(CAN0_MB23_ID0)
303722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_ID0(val)		bfin_write16(CAN0_MB23_ID0, val)
303822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB23_ID1()		bfin_read16(CAN0_MB23_ID1)
303922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB23_ID1(val)		bfin_write16(CAN0_MB23_ID1, val)
304022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_DATA0()		bfin_read16(CAN0_MB24_DATA0)
304122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_DATA0(val)		bfin_write16(CAN0_MB24_DATA0, val)
304222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_DATA1()		bfin_read16(CAN0_MB24_DATA1)
304322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_DATA1(val)		bfin_write16(CAN0_MB24_DATA1, val)
304422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_DATA2()		bfin_read16(CAN0_MB24_DATA2)
304522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_DATA2(val)		bfin_write16(CAN0_MB24_DATA2, val)
304622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_DATA3()		bfin_read16(CAN0_MB24_DATA3)
304722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_DATA3(val)		bfin_write16(CAN0_MB24_DATA3, val)
304822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_LENGTH()		bfin_read16(CAN0_MB24_LENGTH)
304922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_LENGTH(val)	bfin_write16(CAN0_MB24_LENGTH, val)
305022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_TIMESTAMP()		bfin_read16(CAN0_MB24_TIMESTAMP)
305122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_TIMESTAMP(val)	bfin_write16(CAN0_MB24_TIMESTAMP, val)
305222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_ID0()		bfin_read16(CAN0_MB24_ID0)
305322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_ID0(val)		bfin_write16(CAN0_MB24_ID0, val)
305422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB24_ID1()		bfin_read16(CAN0_MB24_ID1)
305522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB24_ID1(val)		bfin_write16(CAN0_MB24_ID1, val)
305622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_DATA0()		bfin_read16(CAN0_MB25_DATA0)
305722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_DATA0(val)		bfin_write16(CAN0_MB25_DATA0, val)
305822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_DATA1()		bfin_read16(CAN0_MB25_DATA1)
305922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_DATA1(val)		bfin_write16(CAN0_MB25_DATA1, val)
306022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_DATA2()		bfin_read16(CAN0_MB25_DATA2)
306122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_DATA2(val)		bfin_write16(CAN0_MB25_DATA2, val)
306222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_DATA3()		bfin_read16(CAN0_MB25_DATA3)
306322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_DATA3(val)		bfin_write16(CAN0_MB25_DATA3, val)
306422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_LENGTH()		bfin_read16(CAN0_MB25_LENGTH)
306522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_LENGTH(val)	bfin_write16(CAN0_MB25_LENGTH, val)
306622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_TIMESTAMP()		bfin_read16(CAN0_MB25_TIMESTAMP)
306722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_TIMESTAMP(val)	bfin_write16(CAN0_MB25_TIMESTAMP, val)
306822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_ID0()		bfin_read16(CAN0_MB25_ID0)
306922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_ID0(val)		bfin_write16(CAN0_MB25_ID0, val)
307022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB25_ID1()		bfin_read16(CAN0_MB25_ID1)
307122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB25_ID1(val)		bfin_write16(CAN0_MB25_ID1, val)
307222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_DATA0()		bfin_read16(CAN0_MB26_DATA0)
307322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_DATA0(val)		bfin_write16(CAN0_MB26_DATA0, val)
307422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_DATA1()		bfin_read16(CAN0_MB26_DATA1)
307522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_DATA1(val)		bfin_write16(CAN0_MB26_DATA1, val)
307622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_DATA2()		bfin_read16(CAN0_MB26_DATA2)
307722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_DATA2(val)		bfin_write16(CAN0_MB26_DATA2, val)
307822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_DATA3()		bfin_read16(CAN0_MB26_DATA3)
307922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_DATA3(val)		bfin_write16(CAN0_MB26_DATA3, val)
308022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_LENGTH()		bfin_read16(CAN0_MB26_LENGTH)
308122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_LENGTH(val)	bfin_write16(CAN0_MB26_LENGTH, val)
308222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_TIMESTAMP()		bfin_read16(CAN0_MB26_TIMESTAMP)
308322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_TIMESTAMP(val)	bfin_write16(CAN0_MB26_TIMESTAMP, val)
308422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_ID0()		bfin_read16(CAN0_MB26_ID0)
308522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_ID0(val)		bfin_write16(CAN0_MB26_ID0, val)
308622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB26_ID1()		bfin_read16(CAN0_MB26_ID1)
308722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB26_ID1(val)		bfin_write16(CAN0_MB26_ID1, val)
308822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_DATA0()		bfin_read16(CAN0_MB27_DATA0)
308922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_DATA0(val)		bfin_write16(CAN0_MB27_DATA0, val)
309022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_DATA1()		bfin_read16(CAN0_MB27_DATA1)
309122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_DATA1(val)		bfin_write16(CAN0_MB27_DATA1, val)
309222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_DATA2()		bfin_read16(CAN0_MB27_DATA2)
309322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_DATA2(val)		bfin_write16(CAN0_MB27_DATA2, val)
309422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_DATA3()		bfin_read16(CAN0_MB27_DATA3)
309522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_DATA3(val)		bfin_write16(CAN0_MB27_DATA3, val)
309622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_LENGTH()		bfin_read16(CAN0_MB27_LENGTH)
309722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_LENGTH(val)	bfin_write16(CAN0_MB27_LENGTH, val)
309822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_TIMESTAMP()		bfin_read16(CAN0_MB27_TIMESTAMP)
309922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_TIMESTAMP(val)	bfin_write16(CAN0_MB27_TIMESTAMP, val)
310022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_ID0()		bfin_read16(CAN0_MB27_ID0)
310122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_ID0(val)		bfin_write16(CAN0_MB27_ID0, val)
310222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB27_ID1()		bfin_read16(CAN0_MB27_ID1)
310322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB27_ID1(val)		bfin_write16(CAN0_MB27_ID1, val)
310422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_DATA0()		bfin_read16(CAN0_MB28_DATA0)
310522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_DATA0(val)		bfin_write16(CAN0_MB28_DATA0, val)
310622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_DATA1()		bfin_read16(CAN0_MB28_DATA1)
310722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_DATA1(val)		bfin_write16(CAN0_MB28_DATA1, val)
310822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_DATA2()		bfin_read16(CAN0_MB28_DATA2)
310922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_DATA2(val)		bfin_write16(CAN0_MB28_DATA2, val)
311022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_DATA3()		bfin_read16(CAN0_MB28_DATA3)
311122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_DATA3(val)		bfin_write16(CAN0_MB28_DATA3, val)
311222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_LENGTH()		bfin_read16(CAN0_MB28_LENGTH)
311322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_LENGTH(val)	bfin_write16(CAN0_MB28_LENGTH, val)
311422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_TIMESTAMP()		bfin_read16(CAN0_MB28_TIMESTAMP)
311522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_TIMESTAMP(val)	bfin_write16(CAN0_MB28_TIMESTAMP, val)
311622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_ID0()		bfin_read16(CAN0_MB28_ID0)
311722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_ID0(val)		bfin_write16(CAN0_MB28_ID0, val)
311822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB28_ID1()		bfin_read16(CAN0_MB28_ID1)
311922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB28_ID1(val)		bfin_write16(CAN0_MB28_ID1, val)
312022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_DATA0()		bfin_read16(CAN0_MB29_DATA0)
312122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_DATA0(val)		bfin_write16(CAN0_MB29_DATA0, val)
312222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_DATA1()		bfin_read16(CAN0_MB29_DATA1)
312322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_DATA1(val)		bfin_write16(CAN0_MB29_DATA1, val)
312422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_DATA2()		bfin_read16(CAN0_MB29_DATA2)
312522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_DATA2(val)		bfin_write16(CAN0_MB29_DATA2, val)
312622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_DATA3()		bfin_read16(CAN0_MB29_DATA3)
312722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_DATA3(val)		bfin_write16(CAN0_MB29_DATA3, val)
312822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_LENGTH()		bfin_read16(CAN0_MB29_LENGTH)
312922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_LENGTH(val)	bfin_write16(CAN0_MB29_LENGTH, val)
313022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_TIMESTAMP()		bfin_read16(CAN0_MB29_TIMESTAMP)
313122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_TIMESTAMP(val)	bfin_write16(CAN0_MB29_TIMESTAMP, val)
313222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_ID0()		bfin_read16(CAN0_MB29_ID0)
313322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_ID0(val)		bfin_write16(CAN0_MB29_ID0, val)
313422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB29_ID1()		bfin_read16(CAN0_MB29_ID1)
313522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB29_ID1(val)		bfin_write16(CAN0_MB29_ID1, val)
313622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_DATA0()		bfin_read16(CAN0_MB30_DATA0)
313722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_DATA0(val)		bfin_write16(CAN0_MB30_DATA0, val)
313822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_DATA1()		bfin_read16(CAN0_MB30_DATA1)
313922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_DATA1(val)		bfin_write16(CAN0_MB30_DATA1, val)
314022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_DATA2()		bfin_read16(CAN0_MB30_DATA2)
314122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_DATA2(val)		bfin_write16(CAN0_MB30_DATA2, val)
314222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_DATA3()		bfin_read16(CAN0_MB30_DATA3)
314322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_DATA3(val)		bfin_write16(CAN0_MB30_DATA3, val)
314422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_LENGTH()		bfin_read16(CAN0_MB30_LENGTH)
314522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_LENGTH(val)	bfin_write16(CAN0_MB30_LENGTH, val)
314622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_TIMESTAMP()		bfin_read16(CAN0_MB30_TIMESTAMP)
314722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_TIMESTAMP(val)	bfin_write16(CAN0_MB30_TIMESTAMP, val)
314822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_ID0()		bfin_read16(CAN0_MB30_ID0)
314922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_ID0(val)		bfin_write16(CAN0_MB30_ID0, val)
315022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB30_ID1()		bfin_read16(CAN0_MB30_ID1)
315122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB30_ID1(val)		bfin_write16(CAN0_MB30_ID1, val)
315222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_DATA0()		bfin_read16(CAN0_MB31_DATA0)
315322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_DATA0(val)		bfin_write16(CAN0_MB31_DATA0, val)
315422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_DATA1()		bfin_read16(CAN0_MB31_DATA1)
315522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_DATA1(val)		bfin_write16(CAN0_MB31_DATA1, val)
315622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_DATA2()		bfin_read16(CAN0_MB31_DATA2)
315722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_DATA2(val)		bfin_write16(CAN0_MB31_DATA2, val)
315822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_DATA3()		bfin_read16(CAN0_MB31_DATA3)
315922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_DATA3(val)		bfin_write16(CAN0_MB31_DATA3, val)
316022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_LENGTH()		bfin_read16(CAN0_MB31_LENGTH)
316122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_LENGTH(val)	bfin_write16(CAN0_MB31_LENGTH, val)
316222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_TIMESTAMP()		bfin_read16(CAN0_MB31_TIMESTAMP)
316322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_TIMESTAMP(val)	bfin_write16(CAN0_MB31_TIMESTAMP, val)
316422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_ID0()		bfin_read16(CAN0_MB31_ID0)
316522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_ID0(val)		bfin_write16(CAN0_MB31_ID0, val)
316622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CAN0_MB31_ID1()		bfin_read16(CAN0_MB31_ID1)
316722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CAN0_MB31_ID1(val)		bfin_write16(CAN0_MB31_ID1, val)
316822a826288522863fb748851824ce634eda4f1b07Sonic Zhang
316922a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* Counter Registers */
317022a826288522863fb748851824ce634eda4f1b07Sonic Zhang
317122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_CONFIG()		bfin_read16(CNT_CONFIG)
317222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_CONFIG(val)	bfin_write16(CNT_CONFIG, val)
317322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_IMASK()		bfin_read16(CNT_IMASK)
317422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_IMASK(val)	bfin_write16(CNT_IMASK, val)
317522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_STATUS()		bfin_read16(CNT_STATUS)
317622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_STATUS(val)	bfin_write16(CNT_STATUS, val)
317722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_COMMAND()		bfin_read16(CNT_COMMAND)
317822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_COMMAND(val)	bfin_write16(CNT_COMMAND, val)
317922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_DEBOUNCE()	bfin_read16(CNT_DEBOUNCE)
318022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_DEBOUNCE(val)	bfin_write16(CNT_DEBOUNCE, val)
318122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_COUNTER()		bfin_read32(CNT_COUNTER)
318222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_COUNTER(val)	bfin_write32(CNT_COUNTER, val)
318322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_MAX()		bfin_read32(CNT_MAX)
318422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_MAX(val)		bfin_write32(CNT_MAX, val)
318522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_CNT_MIN()		bfin_read32(CNT_MIN)
318622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_CNT_MIN(val)		bfin_write32(CNT_MIN, val)
318722a826288522863fb748851824ce634eda4f1b07Sonic Zhang
318822a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* RSI Register */
318922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_CLK_CTL()		bfin_read16(RSI_CLK_CONTROL)
319022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_CLK_CTL(val)	bfin_write16(RSI_CLK_CONTROL, val)
319122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_ARGUMENT()	bfin_read32(RSI_ARGUMENT)
319222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_ARGUMENT(val)	bfin_write32(RSI_ARGUMENT, val)
319322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_COMMAND()		bfin_read16(RSI_COMMAND)
319422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_COMMAND(val)	bfin_write16(RSI_COMMAND, val)
319522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RESP_CMD()	bfin_read16(RSI_RESP_CMD)
319622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RESP_CMD(val)	bfin_write16(RSI_RESP_CMD, val)
319722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RESPONSE0()	bfin_read32(RSI_RESPONSE0)
319822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RESPONSE0(val)	bfin_write32(RSI_RESPONSE0, val)
319922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RESPONSE1()	bfin_read32(RSI_RESPONSE1)
320022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RESPONSE1(val)	bfin_write32(RSI_RESPONSE1, val)
320122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RESPONSE2()	bfin_read32(RSI_RESPONSE2)
320222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RESPONSE2(val)	bfin_write32(RSI_RESPONSE2, val)
320322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RESPONSE3()	bfin_read32(RSI_RESPONSE3)
320422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RESPONSE3(val)	bfin_write32(RSI_RESPONSE3, val)
320522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_DATA_TIMER()	bfin_read32(RSI_DATA_TIMER)
320622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_DATA_TIMER(val)	bfin_write32(RSI_DATA_TIMER, val)
320722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_DATA_LGTH()	bfin_read16(RSI_DATA_LGTH)
320822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_DATA_LGTH(val)	bfin_write16(RSI_DATA_LGTH, val)
320922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_DATA_CTL()	bfin_read16(RSI_DATA_CONTROL)
321022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_DATA_CTL(val)	bfin_write16(RSI_DATA_CONTROL, val)
321122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_DATA_CNT()	bfin_read16(RSI_DATA_CNT)
321222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_DATA_CNT(val)	bfin_write16(RSI_DATA_CNT, val)
321322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_STATUS()		bfin_read32(RSI_STATUS)
321422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_STATUS(val)	bfin_write32(RSI_STATUS, val)
321522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_STATUS_CLR()	bfin_read16(RSI_STATUSCL)
321622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_STATUS_CLR(val)	bfin_write16(RSI_STATUSCL, val)
321722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_MASK0()		bfin_read32(RSI_MASK0)
321822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_MASK0(val)	bfin_write32(RSI_MASK0, val)
321922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_MASK1()		bfin_read32(RSI_MASK1)
322022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_MASK1(val)	bfin_write32(RSI_MASK1, val)
322122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_FIFO_CNT()	bfin_read16(RSI_FIFO_CNT)
322222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_FIFO_CNT(val)	bfin_write16(RSI_FIFO_CNT, val)
322322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_CEATA_CONTROL()	bfin_read16(RSI_CEATA_CONTROL)
322422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_CEATA_CONTROL(val)	bfin_write16(RSI_CEATA_CONTROL, val)
322522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_BLKSZ()		bfin_read16(RSI_BLKSZ)
322622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_BLKSZ(val)	bfin_write16(RSI_BLKSZ, val)
322722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_FIFO()		bfin_read32(RSI_FIFO)
322822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_FIFO(val)	bfin_write32(RSI_FIFO, val)
322922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_E_STATUS()	bfin_read32(RSI_ESTAT)
323022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_E_STATUS(val)	bfin_write32(RSI_ESTAT, val)
323122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_E_MASK()		bfin_read32(RSI_EMASK)
323222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_E_MASK(val)	bfin_write32(RSI_EMASK, val)
323322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_CFG()		bfin_read16(RSI_CONFIG)
323422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_CFG(val)		bfin_write16(RSI_CONFIG, val)
323522a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_RD_WAIT_EN()	bfin_read16(RSI_RD_WAIT_EN)
323622a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_RD_WAIT_EN(val)	bfin_write16(RSI_RD_WAIT_EN, val)
323722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_PID0()		bfin_read16(RSI_PID0)
323822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_PID0(val)	bfin_write16(RSI_PID0, val)
323922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_PID1()		bfin_read16(RSI_PID1)
324022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_PID1(val)	bfin_write16(RSI_PID1, val)
324122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_PID2()		bfin_read16(RSI_PID2)
324222a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_PID2(val)	bfin_write16(RSI_PID2, val)
324322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_RSI_PID3()		bfin_read16(RSI_PID3)
324422a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_RSI_PID3(val)	bfin_write16(RSI_PID3, val)
324522a826288522863fb748851824ce634eda4f1b07Sonic Zhang
324622a826288522863fb748851824ce634eda4f1b07Sonic Zhang/* usb register */
324722a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_USB_PLLOSC_CTRL()    bfin_read16(USB_PLL_OSC)
324822a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_USB_PLLOSC_CTRL(val) bfin_write16(USB_PLL_OSC, val)
324922a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_USB_VBUS_CTL(val) bfin_write8(USB_VBUS_CTL, val)
325022a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_write_USB_APHY_CNTRL(val) bfin_write8(USB_PHY_CTL, val)
325122a826288522863fb748851824ce634eda4f1b07Sonic Zhang#define bfin_read_USB_APHY_CNTRL() bfin_read8(USB_PHY_CTL)
325222a826288522863fb748851824ce634eda4f1b07Sonic Zhang
325322a826288522863fb748851824ce634eda4f1b07Sonic Zhang#endif /* _CDEF_BF60X_H */
325422a826288522863fb748851824ce634eda4f1b07Sonic Zhang
3255