Searched defs:StartBit (Results 1 - 1 of 1) sorted by relevance

/external/llvm/utils/TableGen/
H A DFixedLenDecoderEmitter.cpp222 unsigned StartBit; // the starting bit position member in class:__anon26596::Filter
402 bool fieldFromInsn(uint64_t &Field, insn_t &Insn, unsigned StartBit,
467 void reportRegion(bitAttr_t RA, unsigned StartBit, unsigned BitIndex,
494 : Owner(f.Owner), StartBit(f.StartBit), NumBits(f.NumBits), Mixed(f.Mixed),
503 : Owner(&owner), StartBit(startBit), NumBits(numBits), Mixed(mixed) {
504 assert(StartBit + NumBits - 1 < Owner->BitWidth);
517 bool ok = Owner->fieldFromInsn(Field, Insn, StartBit, NumBits);
560 BitValueArray[StartBit + bitIndex] = BIT_UNSET;
590 BitValueArray[StartBit
[all...]

Completed in 204 milliseconds