1/*===- TableGen'erated file -------------------------------------*- C++ -*-===*\
2|*                                                                            *|
3|*Assembly Writer Source Fragment                                             *|
4|*                                                                            *|
5|* Automatically generated file, do not edit!                                 *|
6|*                                                                            *|
7\*===----------------------------------------------------------------------===*/
8
9/* Capstone Disassembly Engine, http://www.capstone-engine.org */
10/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2015 */
11
12/// printInstruction - This method is automatically generated by tablegen
13/// from the instruction set description.
14static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI)
15{
16  static const uint32_t OpInfo[] = {
17    0U,	// PHI
18    0U,	// INLINEASM
19    0U,	// CFI_INSTRUCTION
20    0U,	// EH_LABEL
21    0U,	// GC_LABEL
22    0U,	// KILL
23    0U,	// EXTRACT_SUBREG
24    0U,	// INSERT_SUBREG
25    0U,	// IMPLICIT_DEF
26    0U,	// SUBREG_TO_REG
27    0U,	// COPY_TO_REGCLASS
28    11119U,	// DBG_VALUE
29    0U,	// REG_SEQUENCE
30    0U,	// COPY
31    11112U,	// BUNDLE
32    11184U,	// LIFETIME_START
33    11099U,	// LIFETIME_END
34    0U,	// STACKMAP
35    0U,	// PATCHPOINT
36    0U,	// LOAD_STACK_GUARD
37    11199U,	// AAA
38    20256U,	// AAD8i8
39    22647U,	// AAM8i8
40    11911U,	// AAS
41    11919U,	// ABS_F
42    0U,	// ABS_Fp32
43    0U,	// ABS_Fp64
44    0U,	// ABS_Fp80
45    10814U,	// ACQUIRE_MOV16rm
46    10814U,	// ACQUIRE_MOV32rm
47    10814U,	// ACQUIRE_MOV64rm
48    10814U,	// ACQUIRE_MOV8rm
49    26287U,	// ADC16i16
50    1085152U,	// ADC16mi
51    1085152U,	// ADC16mi8
52    1085152U,	// ADC16mr
53    34655968U,	// ADC16ri
54    34655968U,	// ADC16ri8
55    68210400U,	// ADC16rm
56    34655968U,	// ADC16rr
57    34623200U,	// ADC16rr_REV
58    26423U,	// ADC32i32
59    1117920U,	// ADC32mi
60    1117920U,	// ADC32mi8
61    1117920U,	// ADC32mr
62    34655968U,	// ADC32ri
63    34655968U,	// ADC32ri8
64    101764832U,	// ADC32rm
65    34655968U,	// ADC32rr
66    34623200U,	// ADC32rr_REV
67    26571U,	// ADC64i32
68    1134304U,	// ADC64mi32
69    1134304U,	// ADC64mi8
70    1134304U,	// ADC64mr
71    34655968U,	// ADC64ri32
72    34655968U,	// ADC64ri8
73    135319264U,	// ADC64rm
74    34655968U,	// ADC64rr
75    34623200U,	// ADC64rr_REV
76    26185U,	// ADC8i8
77    1150688U,	// ADC8mi
78    1150688U,	// ADC8mr
79    34655968U,	// ADC8ri
80    168873696U,	// ADC8rm
81    34655968U,	// ADC8rr
82    34623200U,	// ADC8rr_REV
83    101737738U,	// ADCX32rm
84    34628874U,	// ADCX32rr
85    135292170U,	// ADCX64rm
86    34628874U,	// ADCX64rr
87    26296U,	// ADD16i16
88    1085307U,	// ADD16mi
89    1085307U,	// ADD16mi8
90    1085307U,	// ADD16mr
91    34656123U,	// ADD16ri
92    34656123U,	// ADD16ri8
93    0U,	// ADD16ri8_DB
94    0U,	// ADD16ri_DB
95    68210555U,	// ADD16rm
96    34656123U,	// ADD16rr
97    0U,	// ADD16rr_DB
98    34623355U,	// ADD16rr_REV
99    26433U,	// ADD32i32
100    1118075U,	// ADD32mi
101    1118075U,	// ADD32mi8
102    1118075U,	// ADD32mr
103    34656123U,	// ADD32ri
104    34656123U,	// ADD32ri8
105    0U,	// ADD32ri8_DB
106    0U,	// ADD32ri_DB
107    101764987U,	// ADD32rm
108    34656123U,	// ADD32rr
109    0U,	// ADD32rr_DB
110    34623355U,	// ADD32rr_REV
111    26581U,	// ADD64i32
112    1134459U,	// ADD64mi32
113    1134459U,	// ADD64mi8
114    1134459U,	// ADD64mr
115    34656123U,	// ADD64ri32
116    0U,	// ADD64ri32_DB
117    34656123U,	// ADD64ri8
118    0U,	// ADD64ri8_DB
119    135319419U,	// ADD64rm
120    34656123U,	// ADD64rr
121    0U,	// ADD64rr_DB
122    34623355U,	// ADD64rr_REV
123    26194U,	// ADD8i8
124    1150843U,	// ADD8mi
125    1150843U,	// ADD8mr
126    34656123U,	// ADD8ri
127    34656123U,	// ADD8ri8
128    168873851U,	// ADD8rm
129    34656123U,	// ADD8rr
130    34623355U,	// ADD8rr_REV
131    202396135U,	// ADDPDrm
132    34623975U,	// ADDPDrr
133    202399362U,	// ADDPSrm
134    34627202U,	// ADDPSrr
135    235951174U,	// ADDSDrm
136    235951174U,	// ADDSDrm_Int
137    34624582U,	// ADDSDrr
138    34624582U,	// ADDSDrr_Int
139    269508832U,	// ADDSSrm
140    269508832U,	// ADDSSrm_Int
141    34627808U,	// ADDSSrr
142    34627808U,	// ADDSSrr_Int
143    202396070U,	// ADDSUBPDrm
144    34623910U,	// ADDSUBPDrr
145    202399297U,	// ADDSUBPSrm
146    34627137U,	// ADDSUBPSrr
147    118650U,	// ADD_F32m
148    135034U,	// ADD_F64m
149    36736U,	// ADD_FI16m
150    69504U,	// ADD_FI32m
151    22792U,	// ADD_FPrST0
152    20346U,	// ADD_FST0r
153    0U,	// ADD_Fp32
154    0U,	// ADD_Fp32m
155    0U,	// ADD_Fp64
156    0U,	// ADD_Fp64m
157    0U,	// ADD_Fp64m32
158    0U,	// ADD_Fp80
159    0U,	// ADD_Fp80m32
160    0U,	// ADD_Fp80m64
161    0U,	// ADD_FpI16m32
162    0U,	// ADD_FpI16m64
163    0U,	// ADD_FpI16m80
164    0U,	// ADD_FpI32m32
165    0U,	// ADD_FpI32m64
166    0U,	// ADD_FpI32m80
167    2117498U,	// ADD_FrST0
168    11138U,	// ADJCALLSTACKDOWN32
169    11138U,	// ADJCALLSTACKDOWN64
170    11156U,	// ADJCALLSTACKUP32
171    11156U,	// ADJCALLSTACKUP64
172    303064348U,	// ADOX32rm
173    336618780U,	// ADOX32rr
174    370173212U,	// ADOX64rm
175    336618780U,	// ADOX64rr
176    403726862U,	// AESDECLASTrm
177    34628110U,	// AESDECLASTrr
178    403721958U,	// AESDECrm
179    34623206U,	// AESDECrr
180    403726875U,	// AESENCLASTrm
181    34628123U,	// AESENCLASTrr
182    403721998U,	// AESENCrm
183    34623246U,	// AESENCrr
184    437276421U,	// AESIMCrm
185    336613125U,	// AESIMCrr
186    2584764987U,	// AESKEYGENASSIST128rm
187    2484101691U,	// AESKEYGENASSIST128rr
188    26305U,	// AND16i16
189    1085511U,	// AND16mi
190    1085511U,	// AND16mi8
191    1085511U,	// AND16mr
192    34656327U,	// AND16ri
193    34656327U,	// AND16ri8
194    68210759U,	// AND16rm
195    34656327U,	// AND16rr
196    34623559U,	// AND16rr_REV
197    26443U,	// AND32i32
198    1118279U,	// AND32mi
199    1118279U,	// AND32mi8
200    1118279U,	// AND32mr
201    34656327U,	// AND32ri
202    34656327U,	// AND32ri8
203    101765191U,	// AND32rm
204    34656327U,	// AND32rr
205    34623559U,	// AND32rr_REV
206    26591U,	// AND64i32
207    1134663U,	// AND64mi32
208    1134663U,	// AND64mi8
209    1134663U,	// AND64mr
210    34656327U,	// AND64ri32
211    34656327U,	// AND64ri8
212    135319623U,	// AND64rm
213    34656327U,	// AND64rr
214    34623559U,	// AND64rr_REV
215    26203U,	// AND8i8
216    1151047U,	// AND8mi
217    1151047U,	// AND8mr
218    34656327U,	// AND8ri
219    34656327U,	// AND8ri8
220    168874055U,	// AND8rm
221    34656327U,	// AND8rr
222    34623559U,	// AND8rr_REV
223    2484099250U,	// ANDN32rm
224    2484099250U,	// ANDN32rr
225    2484099250U,	// ANDN64rm
226    2484099250U,	// ANDN64rr
227    202396317U,	// ANDNPDrm
228    34624157U,	// ANDNPDrr
229    202399556U,	// ANDNPSrm
230    34627396U,	// ANDNPSrr
231    202396181U,	// ANDPDrm
232    34624021U,	// ANDPDrr
233    202399408U,	// ANDPSrm
234    34627248U,	// ANDPSrr
235    1087546U,	// ARPL16mr
236    336615482U,	// ARPL16rr
237    0U,	// AVX2_SETALLONES
238    0U,	// AVX512_512_SET0
239    0U,	// AVX_SET0
240    2450545836U,	// BEXTR32rm
241    2484100268U,	// BEXTR32rr
242    2517654700U,	// BEXTR64rm
243    2484100268U,	// BEXTR64rr
244    2450545836U,	// BEXTRI32mi
245    2484100268U,	// BEXTRI32ri
246    2517654700U,	// BEXTRI64mi
247    2484100268U,	// BEXTRI64ri
248    303061027U,	// BLCFILL32rm
249    336615459U,	// BLCFILL32rr
250    370169891U,	// BLCFILL64rm
251    336615459U,	// BLCFILL64rr
252    303060808U,	// BLCI32rm
253    336615240U,	// BLCI32rr
254    370169672U,	// BLCI64rm
255    336615240U,	// BLCI64rr
256    303058670U,	// BLCIC32rm
257    336613102U,	// BLCIC32rr
258    370167534U,	// BLCIC64rm
259    336613102U,	// BLCIC64rr
260    303060978U,	// BLCMSK32rm
261    336615410U,	// BLCMSK32rr
262    370169842U,	// BLCMSK64rm
263    336615410U,	// BLCMSK64rr
264    303062218U,	// BLCS32rm
265    336616650U,	// BLCS32rr
266    370171082U,	// BLCS64rm
267    336616650U,	// BLCS64rr
268    2349879837U,	// BLENDPDrmi
269    2182107677U,	// BLENDPDrri
270    2349883064U,	// BLENDPSrmi
271    2182110904U,	// BLENDPSrri
272    202396413U,	// BLENDVPDrm0
273    34624253U,	// BLENDVPDrr0
274    202399693U,	// BLENDVPSrm0
275    34627533U,	// BLENDVPSrr0
276    303061036U,	// BLSFILL32rm
277    336615468U,	// BLSFILL32rr
278    370169900U,	// BLSFILL64rm
279    336615468U,	// BLSFILL64rr
280    303060964U,	// BLSI32rm
281    336615396U,	// BLSI32rr
282    370169828U,	// BLSI64rm
283    336615396U,	// BLSI64rr
284    303058677U,	// BLSIC32rm
285    336613109U,	// BLSIC32rr
286    370167541U,	// BLSIC64rm
287    336613109U,	// BLSIC64rr
288    303060986U,	// BLSMSK32rm
289    336615418U,	// BLSMSK32rr
290    370169850U,	// BLSMSK64rm
291    336615418U,	// BLSMSK64rr
292    303062167U,	// BLSR32rm
293    336616599U,	// BLSR32rr
294    370171031U,	// BLSR64rm
295    336616599U,	// BLSR64rr
296    303059037U,	// BOUNDS16rm
297    370167901U,	// BOUNDS32rm
298    470832853U,	// BSF16rm
299    336615125U,	// BSF16rr
300    303060693U,	// BSF32rm
301    336615125U,	// BSF32rr
302    370169557U,	// BSF64rm
303    336615125U,	// BSF64rr
304    470834302U,	// BSR16rm
305    336616574U,	// BSR16rr
306    303062142U,	// BSR32rm
307    336616574U,	// BSR32rr
308    370171006U,	// BSR64rm
309    336616574U,	// BSR64rr
310    22771U,	// BSWAP32r
311    22771U,	// BSWAP64r
312    1089940U,	// BT16mi8
313    1089940U,	// BT16mr
314    336617876U,	// BT16ri8
315    336617876U,	// BT16rr
316    1122708U,	// BT32mi8
317    1122708U,	// BT32mr
318    336617876U,	// BT32ri8
319    336617876U,	// BT32rr
320    1139092U,	// BT64mi8
321    1139092U,	// BT64mr
322    336617876U,	// BT64ri8
323    336617876U,	// BT64rr
324    1085211U,	// BTC16mi8
325    1085211U,	// BTC16mr
326    336613147U,	// BTC16ri8
327    336613147U,	// BTC16rr
328    1117979U,	// BTC32mi8
329    1117979U,	// BTC32mr
330    336613147U,	// BTC32ri8
331    336613147U,	// BTC32rr
332    1134363U,	// BTC64mi8
333    1134363U,	// BTC64mr
334    336613147U,	// BTC64ri8
335    336613147U,	// BTC64rr
336    1088669U,	// BTR16mi8
337    1088669U,	// BTR16mr
338    336616605U,	// BTR16ri8
339    336616605U,	// BTR16rr
340    1121437U,	// BTR32mi8
341    1121437U,	// BTR32mr
342    336616605U,	// BTR32ri8
343    336616605U,	// BTR32rr
344    1137821U,	// BTR64mi8
345    1137821U,	// BTR64mr
346    336616605U,	// BTR64ri8
347    336616605U,	// BTR64rr
348    1089922U,	// BTS16mi8
349    1089922U,	// BTS16mr
350    336617858U,	// BTS16ri8
351    336617858U,	// BTS16rr
352    1122690U,	// BTS32mi8
353    1122690U,	// BTS32mr
354    336617858U,	// BTS32ri8
355    336617858U,	// BTS32rr
356    1139074U,	// BTS64mi8
357    1139074U,	// BTS64mr
358    336617858U,	// BTS64ri8
359    336617858U,	// BTS64rr
360    2450544462U,	// BZHI32rm
361    2484098894U,	// BZHI32rr
362    2517653326U,	// BZHI64rm
363    2484098894U,	// BZHI64rr
364    38941U,	// CALL16m
365    22557U,	// CALL16r
366    71709U,	// CALL32m
367    22557U,	// CALL32r
368    88093U,	// CALL64m
369    153629U,	// CALL64pcrel32
370    22557U,	// CALL64r
371    153629U,	// CALLpcrel16
372    153629U,	// CALLpcrel32
373    12204U,	// CBW
374    11812U,	// CDQ
375    11455U,	// CDQE
376    11999U,	// CHS_F
377    0U,	// CHS_Fp32
378    0U,	// CHS_Fp64
379    0U,	// CHS_Fp80
380    11268U,	// CLAC
381    11300U,	// CLC
382    11350U,	// CLD
383    104249U,	// CLFLUSH
384    11570U,	// CLGI
385    11580U,	// CLI
386    12089U,	// CLTS
387    11304U,	// CMC
388    68177251U,	// CMOVA16rm
389    34622819U,	// CMOVA16rr
390    101731683U,	// CMOVA32rm
391    34622819U,	// CMOVA32rr
392    135286115U,	// CMOVA64rm
393    34622819U,	// CMOVA64rr
394    68179448U,	// CMOVAE16rm
395    34625016U,	// CMOVAE16rr
396    101733880U,	// CMOVAE32rm
397    34625016U,	// CMOVAE32rr
398    135288312U,	// CMOVAE64rm
399    34625016U,	// CMOVAE64rr
400    68177579U,	// CMOVB16rm
401    34623147U,	// CMOVB16rr
402    101732011U,	// CMOVB32rm
403    34623147U,	// CMOVB32rr
404    135286443U,	// CMOVB64rm
405    34623147U,	// CMOVB64rr
406    68179468U,	// CMOVBE16rm
407    34625036U,	// CMOVBE16rr
408    101733900U,	// CMOVBE32rm
409    34625036U,	// CMOVBE32rr
410    135288332U,	// CMOVBE64rm
411    34625036U,	// CMOVBE64rr
412    26027U,	// CMOVBE_F
413    0U,	// CMOVBE_Fp32
414    0U,	// CMOVBE_Fp64
415    0U,	// CMOVBE_Fp80
416    25995U,	// CMOVB_F
417    0U,	// CMOVB_Fp32
418    0U,	// CMOVB_Fp64
419    0U,	// CMOVB_Fp80
420    68179662U,	// CMOVE16rm
421    34625230U,	// CMOVE16rr
422    101734094U,	// CMOVE32rm
423    34625230U,	// CMOVE32rr
424    135288526U,	// CMOVE64rm
425    34625230U,	// CMOVE64rr
426    26059U,	// CMOVE_F
427    0U,	// CMOVE_Fp32
428    0U,	// CMOVE_Fp64
429    0U,	// CMOVE_Fp80
430    68179712U,	// CMOVG16rm
431    34625280U,	// CMOVG16rr
432    101734144U,	// CMOVG32rm
433    34625280U,	// CMOVG32rr
434    135288576U,	// CMOVG64rm
435    34625280U,	// CMOVG64rr
436    68179513U,	// CMOVGE16rm
437    34625081U,	// CMOVGE16rr
438    101733945U,	// CMOVGE32rm
439    34625081U,	// CMOVGE32rr
440    135288377U,	// CMOVGE64rm
441    34625081U,	// CMOVGE64rr
442    68180080U,	// CMOVL16rm
443    34625648U,	// CMOVL16rr
444    101734512U,	// CMOVL32rm
445    34625648U,	// CMOVL32rr
446    135288944U,	// CMOVL64rm
447    34625648U,	// CMOVL64rr
448    68179537U,	// CMOVLE16rm
449    34625105U,	// CMOVLE16rr
450    101733969U,	// CMOVLE32rm
451    34625105U,	// CMOVLE32rr
452    135288401U,	// CMOVLE64rm
453    34625105U,	// CMOVLE64rr
454    26010U,	// CMOVNBE_F
455    0U,	// CMOVNBE_Fp32
456    0U,	// CMOVNBE_Fp64
457    0U,	// CMOVNBE_Fp80
458    25979U,	// CMOVNB_F
459    0U,	// CMOVNB_Fp32
460    0U,	// CMOVNB_Fp64
461    0U,	// CMOVNB_Fp80
462    68179565U,	// CMOVNE16rm
463    34625133U,	// CMOVNE16rr
464    101733997U,	// CMOVNE32rm
465    34625133U,	// CMOVNE32rr
466    135288429U,	// CMOVNE64rm
467    34625133U,	// CMOVNE64rr
468    26043U,	// CMOVNE_F
469    0U,	// CMOVNE_Fp32
470    0U,	// CMOVNE_Fp64
471    0U,	// CMOVNE_Fp80
472    68180190U,	// CMOVNO16rm
473    34625758U,	// CMOVNO16rr
474    101734622U,	// CMOVNO32rm
475    34625758U,	// CMOVNO32rr
476    135289054U,	// CMOVNO64rm
477    34625758U,	// CMOVNO64rr
478    68180302U,	// CMOVNP16rm
479    34625870U,	// CMOVNP16rr
480    101734734U,	// CMOVNP32rm
481    34625870U,	// CMOVNP32rr
482    135289166U,	// CMOVNP64rm
483    34625870U,	// CMOVNP64rr
484    26114U,	// CMOVNP_F
485    0U,	// CMOVNP_Fp32
486    0U,	// CMOVNP_Fp64
487    0U,	// CMOVNP_Fp80
488    68181236U,	// CMOVNS16rm
489    34626804U,	// CMOVNS16rr
490    101735668U,	// CMOVNS32rm
491    34626804U,	// CMOVNS32rr
492    135290100U,	// CMOVNS64rm
493    34626804U,	// CMOVNS64rr
494    68180204U,	// CMOVO16rm
495    34625772U,	// CMOVO16rr
496    101734636U,	// CMOVO32rm
497    34625772U,	// CMOVO32rr
498    135289068U,	// CMOVO64rm
499    34625772U,	// CMOVO64rr
500    68180431U,	// CMOVP16rm
501    34625999U,	// CMOVP16rr
502    101734863U,	// CMOVP32rm
503    34625999U,	// CMOVP32rr
504    135289295U,	// CMOVP64rm
505    34625999U,	// CMOVP64rr
506    26130U,	// CMOVP_F
507    0U,	// CMOVP_Fp32
508    0U,	// CMOVP_Fp64
509    0U,	// CMOVP_Fp80
510    68182413U,	// CMOVS16rm
511    34627981U,	// CMOVS16rr
512    101736845U,	// CMOVS32rm
513    34627981U,	// CMOVS32rr
514    135291277U,	// CMOVS64rm
515    34627981U,	// CMOVS64rr
516    10618U,	// CMOV_FR32
517    10777U,	// CMOV_FR64
518    10497U,	// CMOV_GR16
519    10477U,	// CMOV_GR32
520    10796U,	// CMOV_GR8
521    10598U,	// CMOV_RFP32
522    10757U,	// CMOV_RFP64
523    10517U,	// CMOV_RFP80
524    10557U,	// CMOV_V16F32
525    10637U,	// CMOV_V2F64
526    10697U,	// CMOV_V2I64
527    10537U,	// CMOV_V4F32
528    10657U,	// CMOV_V4F64
529    10717U,	// CMOV_V4I64
530    10578U,	// CMOV_V8F32
531    10677U,	// CMOV_V8F64
532    10737U,	// CMOV_V8I64
533    26332U,	// CMP16i16
534    1087776U,	// CMP16mi
535    1087776U,	// CMP16mi8
536    1087776U,	// CMP16mr
537    336615712U,	// CMP16ri
538    336615712U,	// CMP16ri8
539    470833440U,	// CMP16rm
540    336615712U,	// CMP16rr
541    336615712U,	// CMP16rr_REV
542    26497U,	// CMP32i32
543    1120544U,	// CMP32mi
544    1120544U,	// CMP32mi8
545    1120544U,	// CMP32mr
546    336615712U,	// CMP32ri
547    336615712U,	// CMP32ri8
548    303061280U,	// CMP32rm
549    336615712U,	// CMP32rr
550    336615712U,	// CMP32rr_REV
551    26612U,	// CMP64i32
552    1136928U,	// CMP64mi32
553    1136928U,	// CMP64mi8
554    1136928U,	// CMP64mr
555    336615712U,	// CMP64ri32
556    336615712U,	// CMP64ri8
557    370170144U,	// CMP64rm
558    336615712U,	// CMP64rr
559    336615712U,	// CMP64rr_REV
560    26220U,	// CMP8i8
561    1153312U,	// CMP8mi
562    1153312U,	// CMP8mr
563    336615712U,	// CMP8ri
564    504387872U,	// CMP8rm
565    336615712U,	// CMP8rr
566    336615712U,	// CMP8rr_REV
567    204647927U,	// CMPPDrmi
568    2349879989U,	// CMPPDrmi_alt
569    36892151U,	// CMPPDrri
570    2182107829U,	// CMPPDrri_alt
571    205696503U,	// CMPPSrmi
572    2349883236U,	// CMPPSrmi_alt
573    37940727U,	// CMPPSrri
574    2182111076U,	// CMPPSrri_alt
575    200221U,	// CMPSB
576    240299511U,	// CMPSDrm
577    2383434910U,	// CMPSDrm_alt
578    38989303U,	// CMPSDrr
579    2182108318U,	// CMPSDrr_alt
580    218270U,	// CMPSL
581    236406U,	// CMPSQ
582    274902519U,	// CMPSSrm
583    2416992560U,	// CMPSSrm_alt
584    40037879U,	// CMPSSrr
585    2182111536U,	// CMPSSrr_alt
586    255070U,	// CMPSW
587    265578U,	// CMPXCHG16B
588    1087205U,	// CMPXCHG16rm
589    336615141U,	// CMPXCHG16rr
590    1119973U,	// CMPXCHG32rm
591    336615141U,	// CMPXCHG32rr
592    1136357U,	// CMPXCHG64rm
593    336615141U,	// CMPXCHG64rr
594    85366U,	// CMPXCHG8B
595    1152741U,	// CMPXCHG8rm
596    336615141U,	// CMPXCHG8rr
597    537941100U,	// COMISDrm
598    336614508U,	// COMISDrr
599    537944326U,	// COMISSrm
600    336617734U,	// COMISSrr
601    22827U,	// COMP_FST0r
602    22413U,	// COM_FIPr
603    22356U,	// COM_FIr
604    22652U,	// COM_FST0r
605    12061U,	// COS_F
606    0U,	// COS_Fp32
607    0U,	// COS_Fp64
608    0U,	// COS_Fp80
609    11344U,	// CPUID32
610    11344U,	// CPUID64
611    11745U,	// CQO
612    68209583U,	// CRC32r32m16
613    101764015U,	// CRC32r32m32
614    168872879U,	// CRC32r32m8
615    34655151U,	// CRC32r32r16
616    34655151U,	// CRC32r32r32
617    34655151U,	// CRC32r32r8
618    135318447U,	// CRC32r64m64
619    168872879U,	// CRC32r64m8
620    34655151U,	// CRC32r64r64
621    34655151U,	// CRC32r64r8
622    370168103U,	// CVTDQ2PDrm
623    336613671U,	// CVTDQ2PDrr
624    437280213U,	// CVTDQ2PSrm
625    336616917U,	// CVTDQ2PSrr
626    537942567U,	// CVTPD2DQrm
627    336615975U,	// CVTPD2DQrr
628    537943465U,	// CVTPD2PSrm
629    336616873U,	// CVTPD2PSrr
630    537942599U,	// CVTPS2DQrm
631    336616007U,	// CVTPS2DQrr
632    571494706U,	// CVTPS2PDrm
633    336613682U,	// CVTPS2PDrr
634    571496387U,	// CVTSD2SI64rm
635    336615363U,	// CVTSD2SI64rr
636    571496387U,	// CVTSD2SIrm
637    336615363U,	// CVTSD2SIrr
638    571498601U,	// CVTSD2SSrm
639    336617577U,	// CVTSD2SSrr
640    370168775U,	// CVTSI2SD64rm
641    336614343U,	// CVTSI2SD64rr
642    303059911U,	// CVTSI2SDrm
643    336614343U,	// CVTSI2SDrr
644    370172020U,	// CVTSI2SS64rm
645    336617588U,	// CVTSI2SS64rr
646    303063156U,	// CVTSI2SSrm
647    336617588U,	// CVTSI2SSrr
648    605049822U,	// CVTSS2SDrm
649    336614366U,	// CVTSS2SDrr
650    605050842U,	// CVTSS2SI64rm
651    336615386U,	// CVTSS2SI64rr
652    605050842U,	// CVTSS2SIrm
653    336615386U,	// CVTSS2SIrr
654    537942555U,	// CVTTPD2DQrm
655    336615963U,	// CVTTPD2DQrr
656    537942587U,	// CVTTPS2DQrm
657    336615995U,	// CVTTPS2DQrr
658    571496375U,	// CVTTSD2SI64rm
659    336615351U,	// CVTTSD2SI64rr
660    571496375U,	// CVTTSD2SIrm
661    336615351U,	// CVTTSD2SIrr
662    605050830U,	// CVTTSS2SI64rm
663    336615374U,	// CVTTSS2SI64rr
664    605050830U,	// CVTTSS2SIrm
665    336615374U,	// CVTTSS2SIrr
666    11396U,	// CWD
667    11428U,	// CWDE
668    11203U,	// DAA
669    11915U,	// DAS
670    11084U,	// DATA16_PREFIX
671    36585U,	// DEC16m
672    20201U,	// DEC16r
673    20201U,	// DEC32_16r
674    20201U,	// DEC32_32r
675    69353U,	// DEC32m
676    20201U,	// DEC32r
677    36585U,	// DEC64_16m
678    20201U,	// DEC64_16r
679    69353U,	// DEC64_32m
680    20201U,	// DEC64_32r
681    85737U,	// DEC64m
682    20201U,	// DEC64r
683    102121U,	// DEC8m
684    20201U,	// DEC8r
685    41599U,	// DIV16m
686    25215U,	// DIV16r
687    74367U,	// DIV32m
688    25215U,	// DIV32r
689    90751U,	// DIV64m
690    25215U,	// DIV64r
691    107135U,	// DIV8m
692    25215U,	// DIV8r
693    202396424U,	// DIVPDrm
694    34624264U,	// DIVPDrr
695    202399704U,	// DIVPSrm
696    34627544U,	// DIVPSrr
697    122035U,	// DIVR_F32m
698    138419U,	// DIVR_F64m
699    40122U,	// DIVR_FI16m
700    72890U,	// DIVR_FI32m
701    22894U,	// DIVR_FPrST0
702    23731U,	// DIVR_FST0r
703    0U,	// DIVR_Fp32m
704    0U,	// DIVR_Fp64m
705    0U,	// DIVR_Fp64m32
706    0U,	// DIVR_Fp80m32
707    0U,	// DIVR_Fp80m64
708    0U,	// DIVR_FpI16m32
709    0U,	// DIVR_FpI16m64
710    0U,	// DIVR_FpI16m80
711    0U,	// DIVR_FpI32m32
712    0U,	// DIVR_FpI32m64
713    0U,	// DIVR_FpI32m80
714    2120883U,	// DIVR_FrST0
715    235951302U,	// DIVSDrm
716    235951302U,	// DIVSDrm_Int
717    34624710U,	// DIVSDrr
718    34624710U,	// DIVSDrr_Int
719    269508962U,	// DIVSSrm
720    269508962U,	// DIVSSrm_Int
721    34627938U,	// DIVSSrr
722    34627938U,	// DIVSSrr_Int
723    123518U,	// DIV_F32m
724    139902U,	// DIV_F64m
725    41604U,	// DIV_FI16m
726    74372U,	// DIV_FI32m
727    22984U,	// DIV_FPrST0
728    25214U,	// DIV_FST0r
729    0U,	// DIV_Fp32
730    0U,	// DIV_Fp32m
731    0U,	// DIV_Fp64
732    0U,	// DIV_Fp64m
733    0U,	// DIV_Fp64m32
734    0U,	// DIV_Fp80
735    0U,	// DIV_Fp80m32
736    0U,	// DIV_Fp80m64
737    0U,	// DIV_FpI16m32
738    0U,	// DIV_FpI16m64
739    0U,	// DIV_FpI16m80
740    0U,	// DIV_FpI32m32
741    0U,	// DIV_FpI32m64
742    0U,	// DIV_FpI32m80
743    2122366U,	// DIV_FrST0
744    2349879982U,	// DPPDrmi
745    2182107822U,	// DPPDrri
746    2349883229U,	// DPPSrmi
747    2182111069U,	// DPPSrri
748    26724U,	// EH_RETURN
749    26724U,	// EH_RETURN64
750    10893U,	// EH_SjLj_LongJmp32
751    10997U,	// EH_SjLj_LongJmp64
752    10912U,	// EH_SjLj_SetJmp32
753    11016U,	// EH_SjLj_SetJmp64
754    154040U,	// EH_SjLj_Setup
755    12049U,	// ENCLS
756    12171U,	// ENCLU
757    336616511U,	// ENTER
758    2148654983U,	// EXTRACTPSmr
759    2484100999U,	// EXTRACTPSrr
760    34659176U,	// EXTRQ
761    2182142824U,	// EXTRQI
762    10874U,	// F2XM1
763    7395356U,	// FARCALL16i
764    284700U,	// FARCALL16m
765    7395356U,	// FARCALL32i
766    284700U,	// FARCALL32m
767    284700U,	// FARCALL64
768    7395621U,	// FARJMP16i
769    284965U,	// FARJMP16m
770    7395621U,	// FARJMP32i
771    284965U,	// FARJMP32m
772    284965U,	// FARJMP64
773    118778U,	// FBLDm
774    121212U,	// FBSTPm
775    120956U,	// FCOM32m
776    137340U,	// FCOM64m
777    121131U,	// FCOMP32m
778    137515U,	// FCOMP64m
779    11781U,	// FCOMPP
780    11796U,	// FDECSTP
781    12055U,	// FEMMS
782    22045U,	// FFREE
783    39042U,	// FICOM16m
784    71810U,	// FICOM32m
785    39218U,	// FICOMP16m
786    71986U,	// FICOMP32m
787    11804U,	// FINCSTP
788    41765U,	// FLDCW16m
789    123531U,	// FLDENVm
790    11400U,	// FLDL2E
791    12094U,	// FLDL2T
792    10978U,	// FLDLG2
793    10985U,	// FLDLN2
794    11584U,	// FLDPI
795    12407U,	// FNCLEX
796    12127U,	// FNINIT
797    11776U,	// FNOP
798    41772U,	// FNSTCW16m
799    12234U,	// FNSTSW16r
800    124016U,	// FNSTSWm
801    0U,	// FP32_TO_INT16_IN_MEM
802    0U,	// FP32_TO_INT32_IN_MEM
803    0U,	// FP32_TO_INT64_IN_MEM
804    0U,	// FP64_TO_INT16_IN_MEM
805    0U,	// FP64_TO_INT32_IN_MEM
806    0U,	// FP64_TO_INT64_IN_MEM
807    0U,	// FP80_TO_INT16_IN_MEM
808    0U,	// FP80_TO_INT32_IN_MEM
809    0U,	// FP80_TO_INT64_IN_MEM
810    11700U,	// FPATAN
811    11683U,	// FPREM
812    10867U,	// FPREM1
813    11707U,	// FPTAN
814    12146U,	// FRNDINT
815    121952U,	// FRSTORm
816    120510U,	// FSAVEm
817    11433U,	// FSCALE
818    11689U,	// FSETPM
819    12066U,	// FSINCOS
820    123539U,	// FSTENVm
821    11678U,	// FXAM
822    285800U,	// FXRSTOR
823    281615U,	// FXRSTOR64
824    284358U,	// FXSAVE
825    281605U,	// FXSAVE64
826    12101U,	// FXTRACT
827    12228U,	// FYL2X
828    10880U,	// FYL2XP1
829    202396317U,	// FsANDNPDrm
830    34624157U,	// FsANDNPDrr
831    202399556U,	// FsANDNPSrm
832    34627396U,	// FsANDNPSrr
833    202396181U,	// FsANDPDrm
834    34624021U,	// FsANDPDrr
835    202399408U,	// FsANDPSrm
836    34627248U,	// FsANDPSrr
837    0U,	// FsFLD0SD
838    0U,	// FsFLD0SS
839    537940371U,	// FsMOVAPDrm
840    537943606U,	// FsMOVAPSrm
841    202396361U,	// FsORPDrm
842    34624201U,	// FsORPDrr
843    202399608U,	// FsORPSrm
844    34627448U,	// FsORPSrr
845    537940370U,	// FsVMOVAPDrm
846    537943605U,	// FsVMOVAPSrm
847    202396368U,	// FsXORPDrm
848    34624208U,	// FsXORPDrr
849    202399615U,	// FsXORPSrm
850    34627455U,	// FsXORPSrr
851    11288U,	// GETSEC
852    202396143U,	// HADDPDrm
853    34623983U,	// HADDPDrr
854    202399370U,	// HADDPSrm
855    34627210U,	// HADDPSrr
856    12142U,	// HLT
857    202396092U,	// HSUBPDrm
858    34623932U,	// HSUBPDrr
859    202399319U,	// HSUBPSrm
860    34627159U,	// HSUBPSrr
861    41605U,	// IDIV16m
862    25221U,	// IDIV16r
863    74373U,	// IDIV32m
864    25221U,	// IDIV32r
865    90757U,	// IDIV64m
866    25221U,	// IDIV64r
867    107141U,	// IDIV8m
868    25221U,	// IDIV8r
869    36877U,	// ILD_F16m
870    69645U,	// ILD_F32m
871    86029U,	// ILD_F64m
872    0U,	// ILD_Fp16m32
873    0U,	// ILD_Fp16m64
874    0U,	// ILD_Fp16m80
875    0U,	// ILD_Fp32m32
876    0U,	// ILD_Fp32m64
877    0U,	// ILD_Fp32m80
878    0U,	// ILD_Fp64m32
879    0U,	// ILD_Fp64m64
880    0U,	// ILD_Fp64m80
881    39018U,	// IMUL16m
882    22634U,	// IMUL16r
883    68180074U,	// IMUL16rm
884    2618316906U,	// IMUL16rmi
885    2618316906U,	// IMUL16rmi8
886    34625642U,	// IMUL16rr
887    2484099178U,	// IMUL16rri
888    2484099178U,	// IMUL16rri8
889    71786U,	// IMUL32m
890    22634U,	// IMUL32r
891    101734506U,	// IMUL32rm
892    2450544746U,	// IMUL32rmi
893    2450544746U,	// IMUL32rmi8
894    34625642U,	// IMUL32rr
895    2484099178U,	// IMUL32rri
896    2484099178U,	// IMUL32rri8
897    88170U,	// IMUL64m
898    22634U,	// IMUL64r
899    135288938U,	// IMUL64rm
900    2517653610U,	// IMUL64rmi32
901    2517653610U,	// IMUL64rmi8
902    34625642U,	// IMUL64rr
903    2484099178U,	// IMUL64rri32
904    2484099178U,	// IMUL64rri8
905    104554U,	// IMUL8m
906    22634U,	// IMUL8r
907    26324U,	// IN16ri
908    12386U,	// IN16rr
909    26488U,	// IN32ri
910    12396U,	// IN32rr
911    26212U,	// IN8ri
912    12376U,	// IN8rr
913    36630U,	// INC16m
914    20246U,	// INC16r
915    20246U,	// INC32_16r
916    20246U,	// INC32_32r
917    69398U,	// INC32m
918    20246U,	// INC32r
919    36630U,	// INC64_16m
920    20246U,	// INC64_16r
921    69398U,	// INC64_32m
922    20246U,	// INC64_32r
923    85782U,	// INC64m
924    20246U,	// INC64r
925    102166U,	// INC8m
926    20246U,	// INC8r
927    8687120U,	// INSB
928    2416992157U,	// INSERTPSrm
929    2182111133U,	// INSERTPSrr
930    34659230U,	// INSERTQ
931    2182142878U,	// INSERTQI
932    8705160U,	// INSL
933    8725585U,	// INSW
934    25056U,	// INT
935    10888U,	// INT1
936    10992U,	// INT3
937    11749U,	// INTO
938    11391U,	// INVD
939    437281258U,	// INVEPT32
940    437281258U,	// INVEPT64
941    104178U,	// INVLPG
942    12342U,	// INVLPGA32
943    12359U,	// INVLPGA64
944    437276648U,	// INVPCID32
945    437276648U,	// INVPCID64
946    437276657U,	// INVVPID32
947    437276657U,	// INVVPID64
948    12109U,	// IRET16
949    11379U,	// IRET32
950    11855U,	// IRET64
951    39312U,	// ISTT_FP16m
952    72080U,	// ISTT_FP32m
953    88464U,	// ISTT_FP64m
954    0U,	// ISTT_Fp16m32
955    0U,	// ISTT_Fp16m64
956    0U,	// ISTT_Fp16m80
957    0U,	// ISTT_Fp32m32
958    0U,	// ISTT_Fp32m64
959    0U,	// ISTT_Fp32m80
960    0U,	// ISTT_Fp64m32
961    0U,	// ISTT_Fp64m64
962    0U,	// ISTT_Fp64m80
963    41524U,	// IST_F16m
964    74292U,	// IST_F32m
965    39305U,	// IST_FP16m
966    72073U,	// IST_FP32m
967    88457U,	// IST_FP64m
968    0U,	// IST_Fp16m32
969    0U,	// IST_Fp16m64
970    0U,	// IST_Fp16m80
971    0U,	// IST_Fp32m32
972    0U,	// IST_Fp32m64
973    0U,	// IST_Fp32m80
974    0U,	// IST_Fp64m32
975    0U,	// IST_Fp64m64
976    0U,	// IST_Fp64m80
977    240299511U,	// Int_CMPSDrm
978    38989303U,	// Int_CMPSDrr
979    274902519U,	// Int_CMPSSrm
980    40037879U,	// Int_CMPSSrr
981    537941100U,	// Int_COMISDrm
982    336614508U,	// Int_COMISDrr
983    537944326U,	// Int_COMISSrm
984    336617734U,	// Int_COMISSrr
985    235954281U,	// Int_CVTSD2SSrm
986    34627689U,	// Int_CVTSD2SSrr
987    135287751U,	// Int_CVTSI2SD64rm
988    34624455U,	// Int_CVTSI2SD64rr
989    101733319U,	// Int_CVTSI2SDrm
990    34624455U,	// Int_CVTSI2SDrr
991    135290996U,	// Int_CVTSI2SS64rm
992    34627700U,	// Int_CVTSI2SS64rr
993    101736564U,	// Int_CVTSI2SSrm
994    34627700U,	// Int_CVTSI2SSrr
995    269505502U,	// Int_CVTSS2SDrm
996    34624478U,	// Int_CVTSS2SDrr
997    571496375U,	// Int_CVTTSD2SI64rm
998    336615351U,	// Int_CVTTSD2SI64rr
999    571496375U,	// Int_CVTTSD2SIrm
1000    336615351U,	// Int_CVTTSD2SIrr
1001    605050830U,	// Int_CVTTSS2SI64rm
1002    336615374U,	// Int_CVTTSS2SI64rr
1003    605050830U,	// Int_CVTTSS2SIrm
1004    336615374U,	// Int_CVTTSS2SIrr
1005    11172U,	// Int_MemBarrier
1006    537941099U,	// Int_UCOMISDrm
1007    336614507U,	// Int_UCOMISDrr
1008    537944325U,	// Int_UCOMISSrm
1009    336617733U,	// Int_UCOMISSrr
1010    2488626683U,	// Int_VCMPSDrm
1011    2488643067U,	// Int_VCMPSDrr
1012    2489675259U,	// Int_VCMPSSrm
1013    2489691643U,	// Int_VCMPSSrr
1014    537941108U,	// Int_VCOMISDZrm
1015    336614516U,	// Int_VCOMISDZrr
1016    537941108U,	// Int_VCOMISDrm
1017    336614516U,	// Int_VCOMISDrr
1018    537944334U,	// Int_VCOMISSZrm
1019    336617742U,	// Int_VCOMISSZrr
1020    537944334U,	// Int_VCOMISSrm
1021    336617742U,	// Int_VCOMISSrr
1022    2484101224U,	// Int_VCVTSD2SSrm
1023    2484101224U,	// Int_VCVTSD2SSrr
1024    2484097990U,	// Int_VCVTSI2SD64Zrm
1025    2484097990U,	// Int_VCVTSI2SD64Zrr
1026    2484097990U,	// Int_VCVTSI2SD64rm
1027    2484097990U,	// Int_VCVTSI2SD64rr
1028    2484097990U,	// Int_VCVTSI2SDZrm
1029    2484097990U,	// Int_VCVTSI2SDZrr
1030    2484097990U,	// Int_VCVTSI2SDrm
1031    2484097990U,	// Int_VCVTSI2SDrr
1032    2484101235U,	// Int_VCVTSI2SS64Zrm
1033    2484101235U,	// Int_VCVTSI2SS64Zrr
1034    2484101235U,	// Int_VCVTSI2SS64rm
1035    2484101235U,	// Int_VCVTSI2SS64rr
1036    2484101235U,	// Int_VCVTSI2SSZrm
1037    2484101235U,	// Int_VCVTSI2SSZrr
1038    2484101235U,	// Int_VCVTSI2SSrm
1039    2484101235U,	// Int_VCVTSI2SSrr
1040    2484098013U,	// Int_VCVTSS2SDrm
1041    2484098013U,	// Int_VCVTSS2SDrr
1042    571491619U,	// Int_VCVTTSD2SI64Zrm
1043    336610595U,	// Int_VCVTTSD2SI64Zrr
1044    571496374U,	// Int_VCVTTSD2SI64rm
1045    336615350U,	// Int_VCVTTSD2SI64rr
1046    571491619U,	// Int_VCVTTSD2SIZrm
1047    336610595U,	// Int_VCVTTSD2SIZrr
1048    571496374U,	// Int_VCVTTSD2SIrm
1049    336615350U,	// Int_VCVTTSD2SIrr
1050    571491669U,	// Int_VCVTTSD2USI64Zrm
1051    336610645U,	// Int_VCVTTSD2USI64Zrr
1052    571491669U,	// Int_VCVTTSD2USIZrm
1053    336610645U,	// Int_VCVTTSD2USIZrr
1054    605046076U,	// Int_VCVTTSS2SI64Zrm
1055    336610620U,	// Int_VCVTTSS2SI64Zrr
1056    605050829U,	// Int_VCVTTSS2SI64rm
1057    336615373U,	// Int_VCVTTSS2SI64rr
1058    605046076U,	// Int_VCVTTSS2SIZrm
1059    336610620U,	// Int_VCVTTSS2SIZrr
1060    605050829U,	// Int_VCVTTSS2SIrm
1061    336615373U,	// Int_VCVTTSS2SIrr
1062    605046128U,	// Int_VCVTTSS2USI64Zrm
1063    336610672U,	// Int_VCVTTSS2USI64Zrr
1064    605046128U,	// Int_VCVTTSS2USIZrm
1065    336610672U,	// Int_VCVTTSS2USIZrr
1066    2484098001U,	// Int_VCVTUSI2SD64Zrm
1067    2484098001U,	// Int_VCVTUSI2SD64Zrr
1068    2484098001U,	// Int_VCVTUSI2SDZrm
1069    2484098001U,	// Int_VCVTUSI2SDZrr
1070    2484101246U,	// Int_VCVTUSI2SS64Zrm
1071    2484101246U,	// Int_VCVTUSI2SS64Zrr
1072    2484101246U,	// Int_VCVTUSI2SSZrm
1073    2484101246U,	// Int_VCVTUSI2SSZrr
1074    537941098U,	// Int_VUCOMISDZrm
1075    336614506U,	// Int_VUCOMISDZrr
1076    537941098U,	// Int_VUCOMISDrm
1077    336614506U,	// Int_VUCOMISDrr
1078    537944324U,	// Int_VUCOMISSZrm
1079    336617732U,	// Int_VUCOMISSZrr
1080    537944324U,	// Int_VUCOMISSrm
1081    336617732U,	// Int_VUCOMISSrr
1082    153068U,	// JAE_1
1083    153068U,	// JAE_2
1084    153068U,	// JAE_4
1085    150840U,	// JA_1
1086    150840U,	// JA_2
1087    150840U,	// JA_4
1088    153088U,	// JBE_1
1089    153088U,	// JBE_2
1090    153088U,	// JBE_4
1091    150959U,	// JB_1
1092    150959U,	// JB_2
1093    150959U,	// JB_4
1094    157038U,	// JCXZ
1095    157031U,	// JECXZ_32
1096    157031U,	// JECXZ_64
1097    153153U,	// JE_1
1098    153153U,	// JE_2
1099    153153U,	// JE_4
1100    153124U,	// JGE_1
1101    153124U,	// JGE_2
1102    153124U,	// JGE_4
1103    153326U,	// JG_1
1104    153326U,	// JG_2
1105    153326U,	// JG_4
1106    153157U,	// JLE_1
1107    153157U,	// JLE_2
1108    153157U,	// JLE_4
1109    153624U,	// JL_1
1110    153624U,	// JL_2
1111    153624U,	// JL_4
1112    39206U,	// JMP16m
1113    22822U,	// JMP16r
1114    71974U,	// JMP32m
1115    22822U,	// JMP32r
1116    88358U,	// JMP64m
1117    22822U,	// JMP64r
1118    153894U,	// JMP_1
1119    153894U,	// JMP_2
1120    153894U,	// JMP_4
1121    153177U,	// JNE_1
1122    153177U,	// JNE_2
1123    153177U,	// JNE_4
1124    153810U,	// JNO_1
1125    153810U,	// JNO_2
1126    153810U,	// JNO_4
1127    153922U,	// JNP_1
1128    153922U,	// JNP_2
1129    153922U,	// JNP_4
1130    154856U,	// JNS_1
1131    154856U,	// JNS_2
1132    154856U,	// JNS_4
1133    153806U,	// JO_1
1134    153806U,	// JO_2
1135    153806U,	// JO_4
1136    153877U,	// JP_1
1137    153877U,	// JP_2
1138    153877U,	// JP_4
1139    157044U,	// JRCXZ
1140    154852U,	// JS_1
1141    154852U,	// JS_2
1142    154852U,	// JS_4
1143    2484092965U,	// KANDBrr
1144    2484093179U,	// KANDDrr
1145    2484093006U,	// KANDNBrr
1146    2484093305U,	// KANDNDrr
1147    2484094782U,	// KANDNQrr
1148    2484095738U,	// KANDNWrr
1149    2484094559U,	// KANDQrr
1150    2484095686U,	// KANDWrr
1151    336609432U,	// KMOVBkk
1152    504381592U,	// KMOVBkm
1153    336609432U,	// KMOVBkr
1154    1147032U,	// KMOVBmk
1155    336609432U,	// KMOVBrk
1156    336610551U,	// KMOVDkk
1157    303056119U,	// KMOVDkm
1158    336610551U,	// KMOVDkr
1159    1115383U,	// KMOVDmk
1160    336610551U,	// KMOVDrk
1161    336611358U,	// KMOVQkk
1162    370165790U,	// KMOVQkm
1163    336611358U,	// KMOVQkr
1164    1132574U,	// KMOVQmk
1165    336611358U,	// KMOVQrk
1166    336612186U,	// KMOVWkk
1167    470829914U,	// KMOVWkm
1168    336612186U,	// KMOVWkr
1169    1084250U,	// KMOVWmk
1170    336612186U,	// KMOVWrk
1171    336609424U,	// KNOTBrr
1172    336610478U,	// KNOTDrr
1173    336611285U,	// KNOTQrr
1174    336612167U,	// KNOTWrr
1175    2484093048U,	// KORBrr
1176    2484093862U,	// KORDrr
1177    2484094838U,	// KORQrr
1178    336612175U,	// KORTESTWrr
1179    2484095780U,	// KORWrr
1180    0U,	// KSET0B
1181    0U,	// KSET0W
1182    0U,	// KSET1B
1183    0U,	// KSET1W
1184    2484095727U,	// KSHIFTLWri
1185    2484095804U,	// KSHIFTRWri
1186    2484095675U,	// KUNPCKBWrr
1187    2484093055U,	// KXNORBrr
1188    2484093869U,	// KXNORDrr
1189    2484094845U,	// KXNORQrr
1190    2484095787U,	// KXNORWrr
1191    2484093064U,	// KXORBrr
1192    2484093886U,	// KXORDrr
1193    2484094862U,	// KXORQrr
1194    2484095796U,	// KXORWrr
1195    11535U,	// LAHF
1196    470834208U,	// LAR16rm
1197    336616480U,	// LAR16rr
1198    470834208U,	// LAR32rm
1199    336616480U,	// LAR32rr
1200    470834208U,	// LAR64rm
1201    336616480U,	// LAR64rr
1202    1087205U,	// LCMPXCHG16
1203    265578U,	// LCMPXCHG16B
1204    1119973U,	// LCMPXCHG32
1205    1136357U,	// LCMPXCHG64
1206    1152741U,	// LCMPXCHG8
1207    85366U,	// LCMPXCHG8B
1208    437281377U,	// LDDQUrm
1209    72836U,	// LDMXCSR
1210    638606544U,	// LDS16rm
1211    638606544U,	// LDS32rm
1212    12414U,	// LD_F0
1213    10862U,	// LD_F1
1214    118784U,	// LD_F32m
1215    135168U,	// LD_F64m
1216    380928U,	// LD_F80m
1217    0U,	// LD_Fp032
1218    0U,	// LD_Fp064
1219    0U,	// LD_Fp080
1220    0U,	// LD_Fp132
1221    0U,	// LD_Fp164
1222    0U,	// LD_Fp180
1223    0U,	// LD_Fp32m
1224    0U,	// LD_Fp32m64
1225    0U,	// LD_Fp32m80
1226    0U,	// LD_Fp64m
1227    0U,	// LD_Fp64m80
1228    0U,	// LD_Fp80m
1229    20480U,	// LD_Frr
1230    470830387U,	// LEA16r
1231    303058227U,	// LEA32r
1232    303058227U,	// LEA64_32r
1233    370167091U,	// LEA64r
1234    11522U,	// LEAVE
1235    11522U,	// LEAVE64
1236    638606549U,	// LES16rm
1237    638606549U,	// LES32rm
1238    11407U,	// LFENCE
1239    638606554U,	// LFS16rm
1240    638606554U,	// LFS32rm
1241    638606554U,	// LFS64rm
1242    287128U,	// LGDT16m
1243    287128U,	// LGDT32m
1244    287128U,	// LGDT64m
1245    638606559U,	// LGS16rm
1246    638606559U,	// LGS32rm
1247    638606559U,	// LGS64rm
1248    287140U,	// LIDT16m
1249    287140U,	// LIDT32m
1250    287140U,	// LIDT64m
1251    41392U,	// LLDT16m
1252    25008U,	// LLDT16r
1253    42050U,	// LMSW16m
1254    25666U,	// LMSW16r
1255    1085307U,	// LOCK_ADD16mi
1256    1085307U,	// LOCK_ADD16mi8
1257    1085307U,	// LOCK_ADD16mr
1258    1118075U,	// LOCK_ADD32mi
1259    1118075U,	// LOCK_ADD32mi8
1260    1118075U,	// LOCK_ADD32mr
1261    1134459U,	// LOCK_ADD64mi32
1262    1134459U,	// LOCK_ADD64mi8
1263    1134459U,	// LOCK_ADD64mr
1264    1150843U,	// LOCK_ADD8mi
1265    1150843U,	// LOCK_ADD8mr
1266    1085511U,	// LOCK_AND16mi
1267    1085511U,	// LOCK_AND16mi8
1268    1085511U,	// LOCK_AND16mr
1269    1118279U,	// LOCK_AND32mi
1270    1118279U,	// LOCK_AND32mi8
1271    1118279U,	// LOCK_AND32mr
1272    1134663U,	// LOCK_AND64mi32
1273    1134663U,	// LOCK_AND64mi8
1274    1134663U,	// LOCK_AND64mr
1275    1151047U,	// LOCK_AND8mi
1276    1151047U,	// LOCK_AND8mr
1277    36585U,	// LOCK_DEC16m
1278    69353U,	// LOCK_DEC32m
1279    85737U,	// LOCK_DEC64m
1280    102121U,	// LOCK_DEC8m
1281    36630U,	// LOCK_INC16m
1282    69398U,	// LOCK_INC32m
1283    85782U,	// LOCK_INC64m
1284    102166U,	// LOCK_INC8m
1285    1088599U,	// LOCK_OR16mi
1286    1088599U,	// LOCK_OR16mi8
1287    1088599U,	// LOCK_OR16mr
1288    1121367U,	// LOCK_OR32mi
1289    1121367U,	// LOCK_OR32mi8
1290    1121367U,	// LOCK_OR32mr
1291    1137751U,	// LOCK_OR64mi32
1292    1137751U,	// LOCK_OR64mi8
1293    1137751U,	// LOCK_OR64mr
1294    1154135U,	// LOCK_OR8mi
1295    1154135U,	// LOCK_OR8mr
1296    11609U,	// LOCK_PREFIX
1297    1085067U,	// LOCK_SUB16mi
1298    1085067U,	// LOCK_SUB16mi8
1299    1085067U,	// LOCK_SUB16mr
1300    1117835U,	// LOCK_SUB32mi
1301    1117835U,	// LOCK_SUB32mi8
1302    1117835U,	// LOCK_SUB32mr
1303    1134219U,	// LOCK_SUB64mi32
1304    1134219U,	// LOCK_SUB64mi8
1305    1134219U,	// LOCK_SUB64mr
1306    1150603U,	// LOCK_SUB8mi
1307    1150603U,	// LOCK_SUB8mr
1308    1088627U,	// LOCK_XOR16mi
1309    1088627U,	// LOCK_XOR16mi8
1310    1088627U,	// LOCK_XOR16mr
1311    1121395U,	// LOCK_XOR32mi
1312    1121395U,	// LOCK_XOR32mi8
1313    1121395U,	// LOCK_XOR32mr
1314    1137779U,	// LOCK_XOR64mi32
1315    1137779U,	// LOCK_XOR64mi8
1316    1137779U,	// LOCK_XOR64mr
1317    1154163U,	// LOCK_XOR8mi
1318    1154163U,	// LOCK_XOR8mr
1319    402997U,	// LODSB
1320    419681U,	// LODSL
1321    436234U,	// LODSQ
1322    452376U,	// LODSW
1323    153947U,	// LOOP
1324    153205U,	// LOOPE
1325    153182U,	// LOOPNE
1326    22234U,	// LRETIL
1327    23312U,	// LRETIQ
1328    22234U,	// LRETIW
1329    11556U,	// LRETL
1330    11829U,	// LRETQ
1331    11556U,	// LRETW
1332    470833239U,	// LSL16rm
1333    336615511U,	// LSL16rr
1334    303061079U,	// LSL32rm
1335    336615511U,	// LSL32rr
1336    370169943U,	// LSL64rm
1337    336615511U,	// LSL64rr
1338    638607642U,	// LSS16rm
1339    638607642U,	// LSS32rm
1340    638607642U,	// LSS64rm
1341    40098U,	// LTRm
1342    23714U,	// LTRr
1343    462727U,	// LXADD16
1344    479111U,	// LXADD32
1345    495495U,	// LXADD64
1346    511879U,	// LXADD8
1347    470835666U,	// LZCNT16rm
1348    336617938U,	// LZCNT16rr
1349    303063506U,	// LZCNT32rm
1350    336617938U,	// LZCNT32rr
1351    370172370U,	// LZCNT64rm
1352    336617938U,	// LZCNT64rr
1353    336618089U,	// MASKMOVDQU
1354    336618089U,	// MASKMOVDQU64
1355    202396444U,	// MAXCPDrm
1356    34624284U,	// MAXCPDrr
1357    202399724U,	// MAXCPSrm
1358    34627564U,	// MAXCPSrr
1359    235951319U,	// MAXCSDrm
1360    34624727U,	// MAXCSDrr
1361    269508978U,	// MAXCSSrm
1362    34627954U,	// MAXCSSrr
1363    202396444U,	// MAXPDrm
1364    34624284U,	// MAXPDrr
1365    202399724U,	// MAXPSrm
1366    34627564U,	// MAXPSrr
1367    235951319U,	// MAXSDrm
1368    235951319U,	// MAXSDrm_Int
1369    34624727U,	// MAXSDrr
1370    34624727U,	// MAXSDrr_Int
1371    269508978U,	// MAXSSrm
1372    269508978U,	// MAXSSrm_Int
1373    34627954U,	// MAXSSrr
1374    34627954U,	// MAXSSrr_Int
1375    11414U,	// MFENCE
1376    202396326U,	// MINCPDrm
1377    34624166U,	// MINCPDrr
1378    202399565U,	// MINCPSrm
1379    34627405U,	// MINCPSrr
1380    235951239U,	// MINCSDrm
1381    34624647U,	// MINCSDrr
1382    269508896U,	// MINCSSrm
1383    34627872U,	// MINCSSrr
1384    202396326U,	// MINPDrm
1385    34624166U,	// MINPDrr
1386    202399565U,	// MINPSrm
1387    34627405U,	// MINPSrr
1388    235951239U,	// MINSDrm
1389    235951239U,	// MINSDrm_Int
1390    34624647U,	// MINSDrr
1391    34624647U,	// MINSDrr_Int
1392    269508896U,	// MINSSrm
1393    269508896U,	// MINSSrm_Int
1394    34627872U,	// MINSSrr
1395    34627872U,	// MINSSrr_Int
1396    537941870U,	// MMX_CVTPD2PIirm
1397    336615278U,	// MMX_CVTPD2PIirr
1398    370168080U,	// MMX_CVTPI2PDirm
1399    336613648U,	// MMX_CVTPI2PDirr
1400    135290302U,	// MMX_CVTPI2PSirm
1401    34627006U,	// MMX_CVTPI2PSirr
1402    571496323U,	// MMX_CVTPS2PIirm
1403    336615299U,	// MMX_CVTPS2PIirr
1404    537941859U,	// MMX_CVTTPD2PIirm
1405    336615267U,	// MMX_CVTTPD2PIirr
1406    571496312U,	// MMX_CVTTPS2PIirm
1407    336615288U,	// MMX_CVTTPS2PIirr
1408    12056U,	// MMX_EMMS
1409    336616411U,	// MMX_MASKMOVQ
1410    336616411U,	// MMX_MASKMOVQ64
1411    336614740U,	// MMX_MOVD64from64rr
1412    336614740U,	// MMX_MOVD64grr
1413    1119572U,	// MMX_MOVD64mr
1414    303060308U,	// MMX_MOVD64rm
1415    336614740U,	// MMX_MOVD64rr
1416    336614740U,	// MMX_MOVD64to64rr
1417    336615894U,	// MMX_MOVDQ2Qrr
1418    336615894U,	// MMX_MOVFR642Qrr
1419    1137550U,	// MMX_MOVNTQmr
1420    336615985U,	// MMX_MOVQ2DQrr
1421    336615985U,	// MMX_MOVQ2FR64rr
1422    1137631U,	// MMX_MOVQ64mr
1423    370170847U,	// MMX_MOVQ64rm
1424    336616415U,	// MMX_MOVQ64rr
1425    336616415U,	// MMX_MOVQ64rr_REV
1426    370167284U,	// MMX_PABSBrm64
1427    336612852U,	// MMX_PABSBrr64
1428    370168863U,	// MMX_PABSDrm64
1429    336614431U,	// MMX_PABSDrr64
1430    370172937U,	// MMX_PABSWrm64
1431    336618505U,	// MMX_PABSWrr64
1432    135291728U,	// MMX_PACKSSDWirm
1433    34628432U,	// MMX_PACKSSDWirr
1434    135286451U,	// MMX_PACKSSWBirm
1435    34623155U,	// MMX_PACKSSWBirr
1436    135286462U,	// MMX_PACKUSWBirm
1437    34623166U,	// MMX_PACKUSWBirr
1438    135286167U,	// MMX_PADDBirm
1439    34622871U,	// MMX_PADDBirr
1440    135286679U,	// MMX_PADDDirm
1441    34623383U,	// MMX_PADDDirr
1442    135289436U,	// MMX_PADDQirm
1443    34626140U,	// MMX_PADDQirr
1444    135286277U,	// MMX_PADDSBirm
1445    34622981U,	// MMX_PADDSBirr
1446    135291962U,	// MMX_PADDSWirm
1447    34628666U,	// MMX_PADDSWirr
1448    135286319U,	// MMX_PADDUSBirm
1449    34623023U,	// MMX_PADDUSBirr
1450    135292035U,	// MMX_PADDUSWirm
1451    34628739U,	// MMX_PADDUSWirr
1452    135291710U,	// MMX_PADDWirm
1453    34628414U,	// MMX_PADDWirr
1454    2282773580U,	// MMX_PALIGNR64irm
1455    2182110284U,	// MMX_PALIGNR64irr
1456    135289009U,	// MMX_PANDNirm
1457    34625713U,	// MMX_PANDNirr
1458    135286854U,	// MMX_PANDirm
1459    34623558U,	// MMX_PANDirr
1460    135286184U,	// MMX_PAVGBirm
1461    34622888U,	// MMX_PAVGBirr
1462    135291765U,	// MMX_PAVGWirm
1463    34628469U,	// MMX_PAVGWirr
1464    135286232U,	// MMX_PCMPEQBirm
1465    34622936U,	// MMX_PCMPEQBirr
1466    135287597U,	// MMX_PCMPEQDirm
1467    34624301U,	// MMX_PCMPEQDirr
1468    135291870U,	// MMX_PCMPEQWirm
1469    34628574U,	// MMX_PCMPEQWirr
1470    135286360U,	// MMX_PCMPGTBirm
1471    34623064U,	// MMX_PCMPGTBirr
1472    135288048U,	// MMX_PCMPGTDirm
1473    34624752U,	// MMX_PCMPGTDirr
1474    135292061U,	// MMX_PCMPGTWirm
1475    34628765U,	// MMX_PCMPGTWirr
1476    2484102144U,	// MMX_PEXTRWirri
1477    135291952U,	// MMX_PHADDSWrm64
1478    34628656U,	// MMX_PHADDSWrr64
1479    135291701U,	// MMX_PHADDWrm64
1480    34628405U,	// MMX_PHADDWrr64
1481    135286670U,	// MMX_PHADDrm64
1482    34623374U,	// MMX_PHADDrr64
1483    135286611U,	// MMX_PHSUBDrm64
1484    34623315U,	// MMX_PHSUBDrr64
1485    135291933U,	// MMX_PHSUBSWrm64
1486    34628637U,	// MMX_PHSUBSWrr64
1487    135291647U,	// MMX_PHSUBWrm64
1488    34628351U,	// MMX_PHSUBWrr64
1489    2215666679U,	// MMX_PINSRWirmi
1490    2182112247U,	// MMX_PINSRWirri
1491    135291921U,	// MMX_PMADDUBSWrm64
1492    34628625U,	// MMX_PMADDUBSWrr64
1493    135288182U,	// MMX_PMADDWDirm
1494    34624886U,	// MMX_PMADDWDirr
1495    135292052U,	// MMX_PMAXSWirm
1496    34628756U,	// MMX_PMAXSWirr
1497    135286424U,	// MMX_PMAXUBirm
1498    34623128U,	// MMX_PMAXUBirr
1499    135291983U,	// MMX_PMINSWirm
1500    34628687U,	// MMX_PMINSWirr
1501    135286401U,	// MMX_PMINUBirm
1502    34623105U,	// MMX_PMINUBirr
1503    336612788U,	// MMX_PMOVMSKBrr
1504    135292006U,	// MMX_PMULHRSWrm64
1505    34628710U,	// MMX_PMULHRSWrr64
1506    135292093U,	// MMX_PMULHUWirm
1507    34628797U,	// MMX_PMULHUWirr
1508    135291794U,	// MMX_PMULHWirm
1509    34628498U,	// MMX_PMULHWirr
1510    135291836U,	// MMX_PMULLWirm
1511    34628540U,	// MMX_PMULLWirr
1512    135289576U,	// MMX_PMULUDQirm
1513    34626280U,	// MMX_PMULUDQirr
1514    135289942U,	// MMX_PORirm
1515    34626646U,	// MMX_PORirr
1516    135291584U,	// MMX_PSADBWirm
1517    34628288U,	// MMX_PSADBWirr
1518    135286175U,	// MMX_PSHUFBrm64
1519    34622879U,	// MMX_PSHUFBrr64
1520    2517656428U,	// MMX_PSHUFWmi
1521    2484101996U,	// MMX_PSHUFWri
1522    135286223U,	// MMX_PSIGNBrm64
1523    34622927U,	// MMX_PSIGNBrr64
1524    135286869U,	// MMX_PSIGNDrm64
1525    34623573U,	// MMX_PSIGNDrr64
1526    135291861U,	// MMX_PSIGNWrm64
1527    34628565U,	// MMX_PSIGNWrr64
1528    34623508U,	// MMX_PSLLDri
1529    135286804U,	// MMX_PSLLDrm
1530    34623508U,	// MMX_PSLLDrr
1531    34626336U,	// MMX_PSLLQri
1532    135289632U,	// MMX_PSLLQrm
1533    34626336U,	// MMX_PSLLQrr
1534    34628532U,	// MMX_PSLLWri
1535    135291828U,	// MMX_PSLLWrm
1536    34628532U,	// MMX_PSLLWrr
1537    34623286U,	// MMX_PSRADri
1538    135286582U,	// MMX_PSRADrm
1539    34623286U,	// MMX_PSRADrr
1540    34628269U,	// MMX_PSRAWri
1541    135291565U,	// MMX_PSRAWrm
1542    34628269U,	// MMX_PSRAWrr
1543    34623525U,	// MMX_PSRLDri
1544    135286821U,	// MMX_PSRLDrm
1545    34623525U,	// MMX_PSRLDrr
1546    34626344U,	// MMX_PSRLQri
1547    135289640U,	// MMX_PSRLQrm
1548    34626344U,	// MMX_PSRLQrr
1549    34628549U,	// MMX_PSRLWri
1550    135291845U,	// MMX_PSRLWrm
1551    34628549U,	// MMX_PSRLWrr
1552    135286159U,	// MMX_PSUBBirm
1553    34622863U,	// MMX_PSUBBirr
1554    135286620U,	// MMX_PSUBDirm
1555    34623324U,	// MMX_PSUBDirr
1556    135289341U,	// MMX_PSUBQirm
1557    34626045U,	// MMX_PSUBQirr
1558    135286268U,	// MMX_PSUBSBirm
1559    34622972U,	// MMX_PSUBSBirr
1560    135291943U,	// MMX_PSUBSWirm
1561    34628647U,	// MMX_PSUBSWirr
1562    135286309U,	// MMX_PSUBUSBirm
1563    34623013U,	// MMX_PSUBUSBirr
1564    135292025U,	// MMX_PSUBUSWirm
1565    34628729U,	// MMX_PSUBUSWirr
1566    135291656U,	// MMX_PSUBWirm
1567    34628360U,	// MMX_PSUBWirr
1568    135291612U,	// MMX_PUNPCKHBWirm
1569    34628316U,	// MMX_PUNPCKHBWirr
1570    135289454U,	// MMX_PUNPCKHDQirm
1571    34626158U,	// MMX_PUNPCKHDQirr
1572    135288192U,	// MMX_PUNPCKHWDirm
1573    34624896U,	// MMX_PUNPCKHWDirr
1574    135291624U,	// MMX_PUNPCKLBWirm
1575    34628328U,	// MMX_PUNPCKLBWirr
1576    135289466U,	// MMX_PUNPCKLDQirm
1577    34626170U,	// MMX_PUNPCKLDQirr
1578    135288204U,	// MMX_PUNPCKLWDirm
1579    34624908U,	// MMX_PUNPCKLWDirr
1580    135289970U,	// MMX_PXORirm
1581    34626674U,	// MMX_PXORirr
1582    0U,	// MONITOR
1583    11881U,	// MONITORrrr
1584    11670U,	// MONTMUL
1585    0U,	// MORESTACK_RET
1586    0U,	// MORESTACK_RET_RESTORE_R10
1587    9970335U,	// MOV16ao16
1588    9970335U,	// MOV16ao16_16
1589    1090207U,	// MOV16mi
1590    1090207U,	// MOV16mr
1591    1090207U,	// MOV16ms
1592    534276U,	// MOV16o16a
1593    534276U,	// MOV16o16a_16
1594    336618143U,	// MOV16ri
1595    336618143U,	// MOV16ri_alt
1596    470835871U,	// MOV16rm
1597    336618143U,	// MOV16rr
1598    336618143U,	// MOV16rr_REV
1599    336618143U,	// MOV16rs
1600    470835871U,	// MOV16sm
1601    336618143U,	// MOV16sr
1602    11035295U,	// MOV32ao32
1603    11035295U,	// MOV32ao32_16
1604    336618143U,	// MOV32cr
1605    336618143U,	// MOV32dr
1606    1122975U,	// MOV32mi
1607    1122975U,	// MOV32mr
1608    1090207U,	// MOV32ms
1609    550829U,	// MOV32o32a
1610    550829U,	// MOV32o32a_16
1611    0U,	// MOV32r0
1612    336618143U,	// MOV32rc
1613    336618143U,	// MOV32rd
1614    336618143U,	// MOV32ri
1615    0U,	// MOV32ri64
1616    336618143U,	// MOV32ri_alt
1617    303063711U,	// MOV32rm
1618    336618143U,	// MOV32rr
1619    336618143U,	// MOV32rr_REV
1620    336618143U,	// MOV32rs
1621    470835871U,	// MOV32sm
1622    336618143U,	// MOV32sr
1623    9968834U,	// MOV64ao16
1624    11033794U,	// MOV64ao32
1625    12098754U,	// MOV64ao64
1626    13163714U,	// MOV64ao8
1627    336618143U,	// MOV64cr
1628    336618143U,	// MOV64dr
1629    1139359U,	// MOV64mi32
1630    1139359U,	// MOV64mr
1631    1090207U,	// MOV64ms
1632    534254U,	// MOV64o16a
1633    550805U,	// MOV64o32a
1634    567328U,	// MOV64o64a
1635    583294U,	// MOV64o8a
1636    336618143U,	// MOV64rc
1637    336618143U,	// MOV64rd
1638    336616642U,	// MOV64ri
1639    336618143U,	// MOV64ri32
1640    370172575U,	// MOV64rm
1641    336618143U,	// MOV64rr
1642    336618143U,	// MOV64rr_REV
1643    336618143U,	// MOV64rs
1644    470835871U,	// MOV64sm
1645    336618143U,	// MOV64sr
1646    336616415U,	// MOV64toPQIrr
1647    370170847U,	// MOV64toSDrm
1648    336616415U,	// MOV64toSDrr
1649    13165215U,	// MOV8ao8
1650    13165215U,	// MOV8ao8_16
1651    1155743U,	// MOV8mi
1652    1155743U,	// MOV8mr
1653    1155743U,	// MOV8mr_NOREX
1654    583316U,	// MOV8o8a
1655    583316U,	// MOV8o8a_16
1656    336618143U,	// MOV8ri
1657    336618143U,	// MOV8ri_alt
1658    504390303U,	// MOV8rm
1659    504390303U,	// MOV8rm_NOREX
1660    336618143U,	// MOV8rr
1661    336618143U,	// MOV8rr_NOREX
1662    336618143U,	// MOV8rr_REV
1663    1642899U,	// MOVAPDmr
1664    537940371U,	// MOVAPDrm
1665    336613779U,	// MOVAPDrr
1666    336613779U,	// MOVAPDrr_REV
1667    1646134U,	// MOVAPSmr
1668    537943606U,	// MOVAPSrm
1669    336617014U,	// MOVAPSrr
1670    336617014U,	// MOVAPSrr_REV
1671    1086989U,	// MOVBE16mr
1672    470832653U,	// MOVBE16rm
1673    1119757U,	// MOVBE32mr
1674    303060493U,	// MOVBE32rm
1675    1136141U,	// MOVBE64mr
1676    370169357U,	// MOVBE64rm
1677    571496857U,	// MOVDDUPrm
1678    336615833U,	// MOVDDUPrr
1679    303060308U,	// MOVDI2PDIrm
1680    336614740U,	// MOVDI2PDIrr
1681    303060308U,	// MOVDI2SSrm
1682    336614740U,	// MOVDI2SSrr
1683    1314120U,	// MOVDQAmr
1684    437275976U,	// MOVDQArm
1685    336612680U,	// MOVDQArr
1686    336612680U,	// MOVDQArr_REV
1687    1319533U,	// MOVDQUmr
1688    437281389U,	// MOVDQUrm
1689    336618093U,	// MOVDQUrr
1690    336618093U,	// MOVDQUrr_REV
1691    34627338U,	// MOVHLPSrr
1692    1184337U,	// MOVHPDmr
1693    235950673U,	// MOVHPDrm
1694    1187574U,	// MOVHPSmr
1695    235953910U,	// MOVHPSrm
1696    34627308U,	// MOVLHPSrr
1697    1184387U,	// MOVLPDmr
1698    235950723U,	// MOVLPDrm
1699    1187634U,	// MOVLPSmr
1700    235953970U,	// MOVLPSrm
1701    336613978U,	// MOVMSKPDrr
1702    336617215U,	// MOVMSKPSrr
1703    437275965U,	// MOVNTDQArm
1704    1645267U,	// MOVNTDQmr
1705    1136618U,	// MOVNTI_64mr
1706    1120234U,	// MOVNTImr
1707    1643224U,	// MOVNTPDmr
1708    1646483U,	// MOVNTPSmr
1709    1184933U,	// MOVNTSD
1710    1171767U,	// MOVNTSS
1711    0U,	// MOVPC32r
1712    1119572U,	// MOVPDI2DImr
1713    336614740U,	// MOVPDI2DIrr
1714    1137631U,	// MOVPQI2QImr
1715    336616415U,	// MOVPQI2QIrr
1716    336616415U,	// MOVPQIto64rr
1717    370170847U,	// MOVQI2PQIrm
1718    672435777U,	// MOVSB
1719    1184974U,	// MOVSDmr
1720    571495630U,	// MOVSDrm
1721    34624718U,	// MOVSDrr
1722    34624718U,	// MOVSDrr_REV
1723    1137631U,	// MOVSDto64mr
1724    336616415U,	// MOVSDto64rr
1725    537942435U,	// MOVSHDUPrm
1726    336615843U,	// MOVSHDUPrr
1727    706008270U,	// MOVSL
1728    537942446U,	// MOVSLDUPrm
1729    336615854U,	// MOVSLDUPrr
1730    739859325U,	// MOVSQ
1731    1119572U,	// MOVSS2DImr
1732    336614740U,	// MOVSS2DIrr
1733    1171818U,	// MOVSSmr
1734    605053290U,	// MOVSSrm
1735    34627946U,	// MOVSSrr
1736    34627946U,	// MOVSSrr_REV
1737    773137548U,	// MOVSW
1738    504391001U,	// MOVSX16rm8
1739    336618841U,	// MOVSX16rr8
1740    470836569U,	// MOVSX32rm16
1741    504391001U,	// MOVSX32rm8
1742    336618841U,	// MOVSX32rr16
1743    336618841U,	// MOVSX32rr8
1744    336614884U,	// MOVSX64_NOREXrr32
1745    470836569U,	// MOVSX64rm16
1746    303060452U,	// MOVSX64rm32
1747    504391001U,	// MOVSX64rm8
1748    336618841U,	// MOVSX64rr16
1749    336614884U,	// MOVSX64rr32
1750    336618841U,	// MOVSX64rr8
1751    1643252U,	// MOVUPDmr
1752    537940724U,	// MOVUPDrm
1753    336614132U,	// MOVUPDrr
1754    336614132U,	// MOVUPDrr_REV
1755    1646532U,	// MOVUPSmr
1756    537944004U,	// MOVUPSrm
1757    336617412U,	// MOVUPSrr
1758    336617412U,	// MOVUPSrr_REV
1759    437279711U,	// MOVZPQILo2PQIrm
1760    336616415U,	// MOVZPQILo2PQIrr
1761    370170847U,	// MOVZQI2PQIrm
1762    336616415U,	// MOVZQI2PQIrr
1763    504391008U,	// MOVZX16rm8
1764    336618848U,	// MOVZX16rr8
1765    504391008U,	// MOVZX32_NOREXrm8
1766    336618848U,	// MOVZX32_NOREXrr8
1767    470836576U,	// MOVZX32rm16
1768    504391008U,	// MOVZX32rm8
1769    336618848U,	// MOVZX32rr16
1770    336618848U,	// MOVZX32rr8
1771    470836576U,	// MOVZX64rm16_Q
1772    504391008U,	// MOVZX64rm8_Q
1773    336618848U,	// MOVZX64rr16_Q
1774    336618848U,	// MOVZX64rr8_Q
1775    2551210687U,	// MPSADBWrmi
1776    2182111935U,	// MPSADBWrri
1777    39012U,	// MUL16m
1778    22628U,	// MUL16r
1779    71780U,	// MUL32m
1780    22628U,	// MUL32r
1781    88164U,	// MUL64m
1782    22628U,	// MUL64r
1783    104548U,	// MUL8m
1784    22628U,	// MUL8r
1785    202396283U,	// MULPDrm
1786    34624123U,	// MULPDrr
1787    202399530U,	// MULPSrm
1788    34627370U,	// MULPSrr
1789    235951230U,	// MULSDrm
1790    235951230U,	// MULSDrm_Int
1791    34624638U,	// MULSDrr
1792    34624638U,	// MULSDrr_Int
1793    269508888U,	// MULSSrm
1794    269508888U,	// MULSSrm_Int
1795    34627864U,	// MULSSrr
1796    34627864U,	// MULSSrr_Int
1797    2484102422U,	// MULX32rm
1798    2484102422U,	// MULX32rr
1799    2484102422U,	// MULX64rm
1800    2484102422U,	// MULX64rr
1801    120931U,	// MUL_F32m
1802    137315U,	// MUL_F64m
1803    39017U,	// MUL_FI16m
1804    71785U,	// MUL_FI32m
1805    22809U,	// MUL_FPrST0
1806    22627U,	// MUL_FST0r
1807    0U,	// MUL_Fp32
1808    0U,	// MUL_Fp32m
1809    0U,	// MUL_Fp64
1810    0U,	// MUL_Fp64m
1811    0U,	// MUL_Fp64m32
1812    0U,	// MUL_Fp80
1813    0U,	// MUL_Fp80m32
1814    0U,	// MUL_Fp80m64
1815    0U,	// MUL_FpI16m32
1816    0U,	// MUL_FpI16m64
1817    0U,	// MUL_FpI16m80
1818    0U,	// MUL_FpI32m32
1819    0U,	// MUL_FpI32m64
1820    0U,	// MUL_FpI32m80
1821    2119779U,	// MUL_FrST0
1822    12121U,	// MWAITrr
1823    38624U,	// NEG16m
1824    22240U,	// NEG16r
1825    71392U,	// NEG32m
1826    22240U,	// NEG32r
1827    87776U,	// NEG64m
1828    22240U,	// NEG64r
1829    104160U,	// NEG8m
1830    22240U,	// NEG8r
1831    11777U,	// NOOP
1832    39254U,	// NOOP18_16m4
1833    39254U,	// NOOP18_16m5
1834    39254U,	// NOOP18_16m6
1835    39254U,	// NOOP18_16m7
1836    22870U,	// NOOP18_16r4
1837    22870U,	// NOOP18_16r5
1838    22870U,	// NOOP18_16r6
1839    22870U,	// NOOP18_16r7
1840    72022U,	// NOOP18_m4
1841    72022U,	// NOOP18_m5
1842    72022U,	// NOOP18_m6
1843    72022U,	// NOOP18_m7
1844    22870U,	// NOOP18_r4
1845    22870U,	// NOOP18_r5
1846    22870U,	// NOOP18_r6
1847    22870U,	// NOOP18_r7
1848    806410582U,	// NOOP19rr
1849    72022U,	// NOOPL
1850    72022U,	// NOOPL_19
1851    72022U,	// NOOPL_1a
1852    72022U,	// NOOPL_1b
1853    72022U,	// NOOPL_1c
1854    72022U,	// NOOPL_1d
1855    72022U,	// NOOPL_1e
1856    39254U,	// NOOPW
1857    39254U,	// NOOPW_19
1858    39254U,	// NOOPW_1a
1859    39254U,	// NOOPW_1b
1860    39254U,	// NOOPW_1c
1861    39254U,	// NOOPW_1d
1862    39254U,	// NOOPW_1e
1863    41445U,	// NOT16m
1864    25061U,	// NOT16r
1865    74213U,	// NOT32m
1866    25061U,	// NOT32r
1867    90597U,	// NOT64m
1868    25061U,	// NOT64r
1869    106981U,	// NOT8m
1870    25061U,	// NOT8r
1871    26342U,	// OR16i16
1872    1088599U,	// OR16mi
1873    1088599U,	// OR16mi8
1874    1088599U,	// OR16mr
1875    34659415U,	// OR16ri
1876    34659415U,	// OR16ri8
1877    68213847U,	// OR16rm
1878    34659415U,	// OR16rr
1879    34626647U,	// OR16rr_REV
1880    26508U,	// OR32i32
1881    1121367U,	// OR32mi
1882    1121367U,	// OR32mi8
1883    1121367U,	// OR32mr
1884    1121367U,	// OR32mrLocked
1885    34659415U,	// OR32ri
1886    34659415U,	// OR32ri8
1887    101768279U,	// OR32rm
1888    34659415U,	// OR32rr
1889    34626647U,	// OR32rr_REV
1890    26647U,	// OR64i32
1891    1137751U,	// OR64mi32
1892    1137751U,	// OR64mi8
1893    1137751U,	// OR64mr
1894    34659415U,	// OR64ri32
1895    34659415U,	// OR64ri8
1896    135322711U,	// OR64rm
1897    34659415U,	// OR64rr
1898    34626647U,	// OR64rr_REV
1899    26230U,	// OR8i8
1900    1154135U,	// OR8mi
1901    1154135U,	// OR8mr
1902    34659415U,	// OR8ri
1903    34659415U,	// OR8ri8
1904    168877143U,	// OR8rm
1905    34659415U,	// OR8rr
1906    34626647U,	// OR8rr_REV
1907    202396361U,	// ORPDrm
1908    34624201U,	// ORPDrr
1909    202399608U,	// ORPSrm
1910    34627448U,	// ORPSrr
1911    9462357U,	// OUT16ir
1912    12244U,	// OUT16rr
1913    10510933U,	// OUT32ir
1914    12298U,	// OUT32rr
1915    12608085U,	// OUT8ir
1916    11614U,	// OUT8rr
1917    403512U,	// OUTSB
1918    419907U,	// OUTSL
1919    452686U,	// OUTSW
1920    437276148U,	// PABSBrm128
1921    336612852U,	// PABSBrr128
1922    437277727U,	// PABSDrm128
1923    336614431U,	// PABSDrr128
1924    437281801U,	// PABSWrm128
1925    336618505U,	// PABSWrr128
1926    403727184U,	// PACKSSDWrm
1927    34628432U,	// PACKSSDWrr
1928    403721907U,	// PACKSSWBrm
1929    34623155U,	// PACKSSWBrr
1930    403727195U,	// PACKUSDWrm
1931    34628443U,	// PACKUSDWrr
1932    403721918U,	// PACKUSWBrm
1933    34623166U,	// PACKUSWBrr
1934    403721623U,	// PADDBrm
1935    34622871U,	// PADDBrr
1936    403722135U,	// PADDDrm
1937    34623383U,	// PADDDrr
1938    403724892U,	// PADDQrm
1939    34626140U,	// PADDQrr
1940    403721733U,	// PADDSBrm
1941    34622981U,	// PADDSBrr
1942    403727418U,	// PADDSWrm
1943    34628666U,	// PADDSWrr
1944    403721775U,	// PADDUSBrm
1945    34623023U,	// PADDUSBrr
1946    403727491U,	// PADDUSWrm
1947    34628739U,	// PADDUSWrr
1948    403727166U,	// PADDWrm
1949    34628414U,	// PADDWrr
1950    2551209036U,	// PALIGNR128rm
1951    2182110284U,	// PALIGNR128rr
1952    403724465U,	// PANDNrm
1953    34625713U,	// PANDNrr
1954    403722310U,	// PANDrm
1955    34623558U,	// PANDrr
1956    11485U,	// PAUSE
1957    403721640U,	// PAVGBrm
1958    34622888U,	// PAVGBrr
1959    135286328U,	// PAVGUSBrm
1960    34623032U,	// PAVGUSBrr
1961    403727221U,	// PAVGWrm
1962    34628469U,	// PAVGWrr
1963    403721889U,	// PBLENDVBrm0
1964    34623137U,	// PBLENDVBrr0
1965    2551210822U,	// PBLENDWrmi
1966    2182112070U,	// PBLENDWrri
1967    2551208635U,	// PCLMULQDQrm
1968    2182109883U,	// PCLMULQDQrr
1969    403721688U,	// PCMPEQBrm
1970    34622936U,	// PCMPEQBrr
1971    403723053U,	// PCMPEQDrm
1972    34624301U,	// PCMPEQDrr
1973    403725128U,	// PCMPEQQrm
1974    34626376U,	// PCMPEQQrr
1975    403727326U,	// PCMPEQWrm
1976    34628574U,	// PCMPEQWrr
1977    0U,	// PCMPESTRIMEM
1978    0U,	// PCMPESTRIREG
1979    2584762271U,	// PCMPESTRIrm
1980    2484098975U,	// PCMPESTRIrr
1981    0U,	// PCMPESTRM128MEM
1982    0U,	// PCMPESTRM128REG
1983    2584762521U,	// PCMPESTRM128rm
1984    2484099225U,	// PCMPESTRM128rr
1985    403721816U,	// PCMPGTBrm
1986    34623064U,	// PCMPGTBrr
1987    403723504U,	// PCMPGTDrm
1988    34624752U,	// PCMPGTDrr
1989    403725189U,	// PCMPGTQrm
1990    34626437U,	// PCMPGTQrr
1991    403727517U,	// PCMPGTWrm
1992    34628765U,	// PCMPGTWrr
1993    0U,	// PCMPISTRIMEM
1994    0U,	// PCMPISTRIREG
1995    2584762283U,	// PCMPISTRIrm
1996    2484098987U,	// PCMPISTRIrr
1997    0U,	// PCMPISTRM128MEM
1998    0U,	// PCMPISTRM128REG
1999    2584762533U,	// PCMPISTRM128rm
2000    2484099237U,	// PCMPISTRM128rr
2001    2484099343U,	// PDEP32rm
2002    2484099343U,	// PDEP32rr
2003    2484099343U,	// PDEP64rm
2004    2484099343U,	// PDEP64rr
2005    2484101722U,	// PEXT32rm
2006    2484101722U,	// PEXT32rr
2007    2484101722U,	// PEXT64rm
2008    2484101722U,	// PEXT64rr
2009    2148634091U,	// PEXTRBmr
2010    2484096491U,	// PEXTRBrr
2011    2148602706U,	// PEXTRDmr
2012    2484097874U,	// PEXTRDrr
2013    2148621159U,	// PEXTRQmr
2014    2484099943U,	// PEXTRQrr
2015    2148574208U,	// PEXTRWmr
2016    2484102144U,	// PEXTRWri
2017    2484102144U,	// PEXTRWrr_REV
2018    370167777U,	// PF2IDrm
2019    336613345U,	// PF2IDrr
2020    370172826U,	// PF2IWrm
2021    336618394U,	// PF2IWrr
2022    135286472U,	// PFACCrm
2023    34623176U,	// PFACCrr
2024    135286649U,	// PFADDrm
2025    34623353U,	// PFADDrr
2026    135289607U,	// PFCMPEQrm
2027    34626311U,	// PFCMPEQrr
2028    135288361U,	// PFCMPGErm
2029    34625065U,	// PFCMPGErr
2030    135291329U,	// PFCMPGTrm
2031    34628033U,	// PFCMPGTrr
2032    135292163U,	// PFMAXrm
2033    34628867U,	// PFMAXrr
2034    135289024U,	// PFMINrm
2035    34625728U,	// PFMINrr
2036    135288930U,	// PFMULrm
2037    34625634U,	// PFMULrr
2038    135286479U,	// PFNACCrm
2039    34623183U,	// PFNACCrr
2040    135286487U,	// PFPNACCrm
2041    34623191U,	// PFPNACCrr
2042    135285648U,	// PFRCPIT1rm
2043    34622352U,	// PFRCPIT1rr
2044    135285744U,	// PFRCPIT2rm
2045    34622448U,	// PFRCPIT2rr
2046    370170113U,	// PFRCPrm
2047    336615681U,	// PFRCPrr
2048    135285658U,	// PFRSQIT1rm
2049    34622362U,	// PFRSQIT1rr
2050    370172420U,	// PFRSQRTrm
2051    336617988U,	// PFRSQRTrr
2052    135289898U,	// PFSUBRrm
2053    34626602U,	// PFSUBRrr
2054    135286409U,	// PFSUBrm
2055    34623113U,	// PFSUBrr
2056    403722126U,	// PHADDDrm
2057    34623374U,	// PHADDDrr
2058    403727408U,	// PHADDSWrm128
2059    34628656U,	// PHADDSWrr128
2060    403727157U,	// PHADDWrm
2061    34628405U,	// PHADDWrr
2062    437282009U,	// PHMINPOSUWrm128
2063    336618713U,	// PHMINPOSUWrr128
2064    403722067U,	// PHSUBDrm
2065    34623315U,	// PHSUBDrr
2066    403727389U,	// PHSUBSWrm128
2067    34628637U,	// PHSUBSWrr128
2068    403727103U,	// PHSUBWrm
2069    34628351U,	// PHSUBWrr
2070    370167761U,	// PI2FDrm
2071    336613329U,	// PI2FDrr
2072    370172773U,	// PI2FWrm
2073    336618341U,	// PI2FWrr
2074    2316324322U,	// PINSRBrm
2075    2182106594U,	// PINSRBrr
2076    2249216841U,	// PINSRDrm
2077    2182107977U,	// PINSRDrr
2078    2282773342U,	// PINSRQrm
2079    2182110046U,	// PINSRQrr
2080    2215666679U,	// PINSRWrmi
2081    2182112247U,	// PINSRWrri
2082    403727377U,	// PMADDUBSWrm128
2083    34628625U,	// PMADDUBSWrr128
2084    403723638U,	// PMADDWDrm
2085    34624886U,	// PMADDWDrr
2086    403721801U,	// PMAXSBrm
2087    34623049U,	// PMAXSBrr
2088    403723478U,	// PMAXSDrm
2089    34624726U,	// PMAXSDrr
2090    403727508U,	// PMAXSWrm
2091    34628756U,	// PMAXSWrr
2092    403721880U,	// PMAXUBrm
2093    34623128U,	// PMAXUBrr
2094    403723563U,	// PMAXUDrm
2095    34624811U,	// PMAXUDrr
2096    403727590U,	// PMAXUWrm
2097    34628838U,	// PMAXUWrr
2098    403721742U,	// PMINSBrm
2099    34622990U,	// PMINSBrr
2100    403723398U,	// PMINSDrm
2101    34624646U,	// PMINSDrr
2102    403727439U,	// PMINSWrm
2103    34628687U,	// PMINSWrr
2104    403721857U,	// PMINUBrm
2105    34623105U,	// PMINUBrr
2106    403723545U,	// PMINUDrm
2107    34624793U,	// PMINUDrr
2108    403727568U,	// PMINUWrm
2109    34628816U,	// PMINUWrr
2110    336612788U,	// PMOVMSKBrr
2111    303058788U,	// PMOVSXBDrm
2112    336613220U,	// PMOVSXBDrr
2113    470833669U,	// PMOVSXBQrm
2114    336615941U,	// PMOVSXBQrr
2115    370172688U,	// PMOVSXBWrm
2116    336618256U,	// PMOVSXBWrr
2117    370170610U,	// PMOVSXDQrm
2118    336616178U,	// PMOVSXDQrr
2119    370169295U,	// PMOVSXWDrm
2120    336614863U,	// PMOVSXWDrr
2121    303062018U,	// PMOVSXWQrm
2122    336616450U,	// PMOVSXWQrr
2123    303058799U,	// PMOVZXBDrm
2124    336613231U,	// PMOVZXBDrr
2125    470833680U,	// PMOVZXBQrm
2126    336615952U,	// PMOVZXBQrr
2127    370172699U,	// PMOVZXBWrm
2128    336618267U,	// PMOVZXBWrr
2129    370170621U,	// PMOVZXDQrm
2130    336616189U,	// PMOVZXDQrr
2131    370169306U,	// PMOVZXWDrm
2132    336614874U,	// PMOVZXWDrr
2133    303062029U,	// PMOVZXWQrm
2134    336616461U,	// PMOVZXWQrr
2135    403724952U,	// PMULDQrm
2136    34626200U,	// PMULDQrr
2137    403727462U,	// PMULHRSWrm128
2138    34628710U,	// PMULHRSWrr128
2139    135291885U,	// PMULHRWrm
2140    34628589U,	// PMULHRWrr
2141    403727549U,	// PMULHUWrm
2142    34628797U,	// PMULHUWrr
2143    403727250U,	// PMULHWrm
2144    34628498U,	// PMULHWrr
2145    403722268U,	// PMULLDrm
2146    34623516U,	// PMULLDrr
2147    403727292U,	// PMULLWrm
2148    34628540U,	// PMULLWrr
2149    403725032U,	// PMULUDQrm
2150    34626280U,	// PMULUDQrr
2151    22881U,	// POP16r
2152    39265U,	// POP16rmm
2153    22881U,	// POP16rmr
2154    22881U,	// POP32r
2155    72033U,	// POP32rmm
2156    22881U,	// POP32rmr
2157    22881U,	// POP64r
2158    88417U,	// POP64rmm
2159    22881U,	// POP64rmr
2160    12198U,	// POPA16
2161    11632U,	// POPA32
2162    470835658U,	// POPCNT16rm
2163    336617930U,	// POPCNT16rr
2164    303063498U,	// POPCNT32rm
2165    336617930U,	// POPCNT32rr
2166    370172362U,	// POPCNT64rm
2167    336617930U,	// POPCNT64rr
2168    11940U,	// POPDS16
2169    11940U,	// POPDS32
2170    11955U,	// POPES16
2171    11955U,	// POPES32
2172    11551U,	// POPF16
2173    11338U,	// POPF32
2174    11823U,	// POPF64
2175    11970U,	// POPFS16
2176    11970U,	// POPFS32
2177    11970U,	// POPFS64
2178    11985U,	// POPGS16
2179    11985U,	// POPGS32
2180    11985U,	// POPGS64
2181    12082U,	// POPSS16
2182    12082U,	// POPSS32
2183    403725398U,	// PORrm
2184    34626646U,	// PORrr
2185    104199U,	// PREFETCH
2186    101718U,	// PREFETCHNTA
2187    101218U,	// PREFETCHT0
2188    101252U,	// PREFETCHT1
2189    101348U,	// PREFETCHT2
2190    107388U,	// PREFETCHW
2191    403727040U,	// PSADBWrm
2192    34628288U,	// PSADBWrr
2193    403721631U,	// PSHUFBrm
2194    34622879U,	// PSHUFBrr
2195    2584760281U,	// PSHUFDmi
2196    2484096985U,	// PSHUFDri
2197    2584765320U,	// PSHUFHWmi
2198    2484102024U,	// PSHUFHWri
2199    2584765346U,	// PSHUFLWmi
2200    2484102050U,	// PSHUFLWri
2201    403721679U,	// PSIGNBrm
2202    34622927U,	// PSIGNBrr
2203    403722325U,	// PSIGNDrm
2204    34623573U,	// PSIGNDrr
2205    403727317U,	// PSIGNWrm
2206    34628565U,	// PSIGNWrr
2207    34626182U,	// PSLLDQri
2208    34623508U,	// PSLLDri
2209    403722260U,	// PSLLDrm
2210    34623508U,	// PSLLDrr
2211    34626336U,	// PSLLQri
2212    403725088U,	// PSLLQrm
2213    34626336U,	// PSLLQrr
2214    34628532U,	// PSLLWri
2215    403727284U,	// PSLLWrm
2216    34628532U,	// PSLLWrr
2217    34623286U,	// PSRADri
2218    403722038U,	// PSRADrm
2219    34623286U,	// PSRADrr
2220    34628269U,	// PSRAWri
2221    403727021U,	// PSRAWrm
2222    34628269U,	// PSRAWrr
2223    34626191U,	// PSRLDQri
2224    34623525U,	// PSRLDri
2225    403722277U,	// PSRLDrm
2226    34623525U,	// PSRLDrr
2227    34626344U,	// PSRLQri
2228    403725096U,	// PSRLQrm
2229    34626344U,	// PSRLQrr
2230    34628549U,	// PSRLWri
2231    403727301U,	// PSRLWrm
2232    34628549U,	// PSRLWrr
2233    403721615U,	// PSUBBrm
2234    34622863U,	// PSUBBrr
2235    403722076U,	// PSUBDrm
2236    34623324U,	// PSUBDrr
2237    403724797U,	// PSUBQrm
2238    34626045U,	// PSUBQrr
2239    403721724U,	// PSUBSBrm
2240    34622972U,	// PSUBSBrr
2241    403727399U,	// PSUBSWrm
2242    34628647U,	// PSUBSWrr
2243    403721765U,	// PSUBUSBrm
2244    34623013U,	// PSUBUSBrr
2245    403727481U,	// PSUBUSWrm
2246    34628729U,	// PSUBUSWrr
2247    403727112U,	// PSUBWrm
2248    34628360U,	// PSUBWrr
2249    370168219U,	// PSWAPDrm
2250    336613787U,	// PSWAPDrr
2251    537944616U,	// PTESTrm
2252    336618024U,	// PTESTrr
2253    403727068U,	// PUNPCKHBWrm
2254    34628316U,	// PUNPCKHBWrr
2255    403724910U,	// PUNPCKHDQrm
2256    34626158U,	// PUNPCKHDQrr
2257    403724961U,	// PUNPCKHQDQrm
2258    34626209U,	// PUNPCKHQDQrr
2259    403723648U,	// PUNPCKHWDrm
2260    34624896U,	// PUNPCKHWDrr
2261    403727080U,	// PUNPCKLBWrm
2262    34628328U,	// PUNPCKLBWrr
2263    403724922U,	// PUNPCKLDQrm
2264    34626170U,	// PUNPCKLDQrr
2265    403724974U,	// PUNPCKLQDQrm
2266    34626222U,	// PUNPCKLQDQrr
2267    403723660U,	// PUNPCKLWDrm
2268    34624908U,	// PUNPCKLWDrr
2269    22338U,	// PUSH16i8
2270    22338U,	// PUSH16r
2271    38722U,	// PUSH16rmm
2272    22338U,	// PUSH16rmr
2273    22338U,	// PUSH32i8
2274    22338U,	// PUSH32r
2275    71490U,	// PUSH32rmm
2276    22338U,	// PUSH32rmr
2277    22338U,	// PUSH64i16
2278    22338U,	// PUSH64i32
2279    22338U,	// PUSH64i8
2280    22338U,	// PUSH64r
2281    87874U,	// PUSH64rmm
2282    22338U,	// PUSH64rmr
2283    12191U,	// PUSHA16
2284    11625U,	// PUSHA32
2285    11924U,	// PUSHCS16
2286    11924U,	// PUSHCS32
2287    11932U,	// PUSHDS16
2288    11932U,	// PUSHDS32
2289    11947U,	// PUSHES16
2290    11947U,	// PUSHES32
2291    11545U,	// PUSHF16
2292    11331U,	// PUSHF32
2293    11816U,	// PUSHF64
2294    11962U,	// PUSHFS16
2295    11962U,	// PUSHFS32
2296    11962U,	// PUSHFS64
2297    11977U,	// PUSHGS16
2298    11977U,	// PUSHGS32
2299    11977U,	// PUSHGS64
2300    12074U,	// PUSHSS16
2301    12074U,	// PUSHSS32
2302    22338U,	// PUSHi16
2303    22338U,	// PUSHi32
2304    403725426U,	// PXORrm
2305    34626674U,	// PXORrr
2306    13670414U,	// RCL16m1
2307    14718990U,	// RCL16mCL
2308    1087502U,	// RCL16mi
2309    13654030U,	// RCL16r1
2310    14702606U,	// RCL16rCL
2311    34625550U,	// RCL16ri
2312    13703182U,	// RCL32m1
2313    14751758U,	// RCL32mCL
2314    1120270U,	// RCL32mi
2315    13654030U,	// RCL32r1
2316    14702606U,	// RCL32rCL
2317    34625550U,	// RCL32ri
2318    13719566U,	// RCL64m1
2319    14768142U,	// RCL64mCL
2320    1136654U,	// RCL64mi
2321    13654030U,	// RCL64r1
2322    14702606U,	// RCL64rCL
2323    34625550U,	// RCL64ri
2324    13735950U,	// RCL8m1
2325    14784526U,	// RCL8mCL
2326    1153038U,	// RCL8mi
2327    13654030U,	// RCL8r1
2328    14702606U,	// RCL8rCL
2329    34625550U,	// RCL8ri
2330    537943893U,	// RCPPSm
2331    537943893U,	// RCPPSm_Int
2332    336617301U,	// RCPPSr
2333    336617301U,	// RCPPSr_Int
2334    605053224U,	// RCPSSm
2335    269508904U,	// RCPSSm_Int
2336    336617768U,	// RCPSSr
2337    34627880U,	// RCPSSr_Int
2338    13671482U,	// RCR16m1
2339    14720058U,	// RCR16mCL
2340    1088570U,	// RCR16mi
2341    13655098U,	// RCR16r1
2342    14703674U,	// RCR16rCL
2343    34626618U,	// RCR16ri
2344    13704250U,	// RCR32m1
2345    14752826U,	// RCR32mCL
2346    1121338U,	// RCR32mi
2347    13655098U,	// RCR32r1
2348    14703674U,	// RCR32rCL
2349    34626618U,	// RCR32ri
2350    13720634U,	// RCR64m1
2351    14769210U,	// RCR64mCL
2352    1137722U,	// RCR64mi
2353    13655098U,	// RCR64r1
2354    14703674U,	// RCR64rCL
2355    34626618U,	// RCR64ri
2356    13737018U,	// RCR8m1
2357    14785594U,	// RCR8mCL
2358    1154106U,	// RCR8mi
2359    13655098U,	// RCR8r1
2360    14703674U,	// RCR8rCL
2361    34626618U,	// RCR8ri
2362    22140U,	// RDFSBASE
2363    22140U,	// RDFSBASE64
2364    22160U,	// RDGSBASE
2365    22160U,	// RDGSBASE64
2366    11889U,	// RDMSR
2367    11308U,	// RDPMC
2368    20556U,	// RDRAND16r
2369    20556U,	// RDRAND32r
2370    20556U,	// RDRAND64r
2371    20425U,	// RDSEED16r
2372    20425U,	// RDSEED32r
2373    20425U,	// RDSEED64r
2374    11321U,	// RDTSC
2375    11754U,	// RDTSCP
2376    10835U,	// RELEASE_MOV16mr
2377    10835U,	// RELEASE_MOV32mr
2378    10835U,	// RELEASE_MOV64mr
2379    10835U,	// RELEASE_MOV8mr
2380    11449U,	// REPNE_PREFIX
2381    11252U,	// REP_MOVSB_32
2382    11252U,	// REP_MOVSB_64
2383    11369U,	// REP_MOVSD_32
2384    11369U,	// REP_MOVSD_64
2385    11845U,	// REP_MOVSQ_64
2386    12218U,	// REP_MOVSW_32
2387    12218U,	// REP_MOVSW_64
2388    11761U,	// REP_PREFIX
2389    11242U,	// REP_STOSB_32
2390    11242U,	// REP_STOSB_64
2391    11359U,	// REP_STOSD_32
2392    11359U,	// REP_STOSD_64
2393    11835U,	// REP_STOSQ_64
2394    12208U,	// REP_STOSW_32
2395    12208U,	// REP_STOSW_64
2396    25020U,	// RETIL
2397    25020U,	// RETIQ
2398    25020U,	// RETIW
2399    12110U,	// RETL
2400    12110U,	// RETQ
2401    12110U,	// RETW
2402    11078U,	// REX64_PREFIX
2403    13670453U,	// ROL16m1
2404    14719029U,	// ROL16mCL
2405    1087541U,	// ROL16mi
2406    13654069U,	// ROL16r1
2407    14702645U,	// ROL16rCL
2408    34625589U,	// ROL16ri
2409    13703221U,	// ROL32m1
2410    14751797U,	// ROL32mCL
2411    1120309U,	// ROL32mi
2412    13654069U,	// ROL32r1
2413    14702645U,	// ROL32rCL
2414    34625589U,	// ROL32ri
2415    13719605U,	// ROL64m1
2416    14768181U,	// ROL64mCL
2417    1136693U,	// ROL64mi
2418    13654069U,	// ROL64r1
2419    14702645U,	// ROL64rCL
2420    34625589U,	// ROL64ri
2421    13735989U,	// ROL8m1
2422    14784565U,	// ROL8mCL
2423    1153077U,	// ROL8mi
2424    13654069U,	// ROL8r1
2425    14702645U,	// ROL8rCL
2426    34625589U,	// ROL8ri
2427    13671515U,	// ROR16m1
2428    14720091U,	// ROR16mCL
2429    1088603U,	// ROR16mi
2430    13655131U,	// ROR16r1
2431    14703707U,	// ROR16rCL
2432    34626651U,	// ROR16ri
2433    13704283U,	// ROR32m1
2434    14752859U,	// ROR32mCL
2435    1121371U,	// ROR32mi
2436    13655131U,	// ROR32r1
2437    14703707U,	// ROR32rCL
2438    34626651U,	// ROR32ri
2439    13720667U,	// ROR64m1
2440    14769243U,	// ROR64mCL
2441    1137755U,	// ROR64mi
2442    13655131U,	// ROR64r1
2443    14703707U,	// ROR64rCL
2444    34626651U,	// ROR64ri
2445    13737051U,	// ROR8m1
2446    14785627U,	// ROR8mCL
2447    1154139U,	// ROR8mi
2448    13655131U,	// ROR8r1
2449    14703707U,	// ROR8rCL
2450    34626651U,	// ROR8ri
2451    2450548039U,	// RORX32mi
2452    2484102471U,	// RORX32ri
2453    2517656903U,	// RORX64mi
2454    2484102471U,	// RORX64ri
2455    2685424167U,	// ROUNDPDm
2456    2484097575U,	// ROUNDPDr
2457    2685427394U,	// ROUNDPSm
2458    2484100802U,	// ROUNDPSr
2459    2383434849U,	// ROUNDSDm
2460    2182108257U,	// ROUNDSDr
2461    2182108257U,	// ROUNDSDr_Int
2462    2416992507U,	// ROUNDSSm
2463    2182111483U,	// ROUNDSSr
2464    2182111483U,	// ROUNDSSr_Int
2465    11696U,	// RSM
2466    537943976U,	// RSQRTPSm
2467    537943976U,	// RSQRTPSm_Int
2468    336617384U,	// RSQRTPSr
2469    336617384U,	// RSQRTPSr_Int
2470    605053249U,	// RSQRTSSm
2471    269508929U,	// RSQRTSSm_Int
2472    336617793U,	// RSQRTSSr
2473    34627905U,	// RSQRTSSr_Int
2474    11540U,	// SAHF
2475    13670409U,	// SAL16m1
2476    14718985U,	// SAL16mCL
2477    1087497U,	// SAL16mi
2478    13654025U,	// SAL16r1
2479    14702601U,	// SAL16rCL
2480    34625545U,	// SAL16ri
2481    13703177U,	// SAL32m1
2482    14751753U,	// SAL32mCL
2483    1120265U,	// SAL32mi
2484    13654025U,	// SAL32r1
2485    14702601U,	// SAL32rCL
2486    34625545U,	// SAL32ri
2487    13719561U,	// SAL64m1
2488    14768137U,	// SAL64mCL
2489    1136649U,	// SAL64mi
2490    13654025U,	// SAL64r1
2491    14702601U,	// SAL64rCL
2492    34625545U,	// SAL64ri
2493    13735945U,	// SAL8m1
2494    14784521U,	// SAL8mCL
2495    1153033U,	// SAL8mi
2496    13654025U,	// SAL8r1
2497    14702601U,	// SAL8rCL
2498    34625545U,	// SAL8ri
2499    11295U,	// SALC
2500    13671461U,	// SAR16m1
2501    14720037U,	// SAR16mCL
2502    1088549U,	// SAR16mi
2503    13655077U,	// SAR16r1
2504    14703653U,	// SAR16rCL
2505    34626597U,	// SAR16ri
2506    13704229U,	// SAR32m1
2507    14752805U,	// SAR32mCL
2508    1121317U,	// SAR32mi
2509    13655077U,	// SAR32r1
2510    14703653U,	// SAR32rCL
2511    34626597U,	// SAR32ri
2512    13720613U,	// SAR64m1
2513    14769189U,	// SAR64mCL
2514    1137701U,	// SAR64mi
2515    13655077U,	// SAR64r1
2516    14703653U,	// SAR64rCL
2517    34626597U,	// SAR64ri
2518    13736997U,	// SAR8m1
2519    14785573U,	// SAR8mCL
2520    1154085U,	// SAR8mi
2521    13655077U,	// SAR8r1
2522    14703653U,	// SAR8rCL
2523    34626597U,	// SAR8ri
2524    2450548027U,	// SARX32rm
2525    2484102459U,	// SARX32rr
2526    2517656891U,	// SARX64rm
2527    2484102459U,	// SARX64rr
2528    26269U,	// SBB16i16
2529    1084809U,	// SBB16mi
2530    1084809U,	// SBB16mi8
2531    1084809U,	// SBB16mr
2532    34655625U,	// SBB16ri
2533    34655625U,	// SBB16ri8
2534    68210057U,	// SBB16rm
2535    34655625U,	// SBB16rr
2536    34622857U,	// SBB16rr_REV
2537    26403U,	// SBB32i32
2538    1117577U,	// SBB32mi
2539    1117577U,	// SBB32mi8
2540    1117577U,	// SBB32mr
2541    34655625U,	// SBB32ri
2542    34655625U,	// SBB32ri8
2543    101764489U,	// SBB32rm
2544    34655625U,	// SBB32rr
2545    34622857U,	// SBB32rr_REV
2546    26551U,	// SBB64i32
2547    1133961U,	// SBB64mi32
2548    1133961U,	// SBB64mi8
2549    1133961U,	// SBB64mr
2550    34655625U,	// SBB64ri32
2551    34655625U,	// SBB64ri8
2552    135318921U,	// SBB64rm
2553    34655625U,	// SBB64rr
2554    34622857U,	// SBB64rr_REV
2555    26145U,	// SBB8i8
2556    1150345U,	// SBB8mi
2557    1150345U,	// SBB8mr
2558    34655625U,	// SBB8ri
2559    168873353U,	// SBB8rm
2560    34655625U,	// SBB8rr
2561    34622857U,	// SBB8rr_REV
2562    304682U,	// SCASB
2563    321365U,	// SCASL
2564    616446U,	// SCASQ
2565    337677U,	// SCASW
2566    12004U,	// SEG_ALLOCA_32
2567    12004U,	// SEG_ALLOCA_64
2568    11505U,	// SEH_EndPrologue
2569    11491U,	// SEH_Epilogue
2570    26802U,	// SEH_PushFrame
2571    26847U,	// SEH_PushReg
2572    336619729U,	// SEH_SaveReg
2573    336619643U,	// SEH_SaveXMM
2574    336619714U,	// SEH_SetFrame
2575    26785U,	// SEH_StackAlloc
2576    103921U,	// SETAEm
2577    22001U,	// SETAEr
2578    101712U,	// SETAm
2579    19792U,	// SETAr
2580    103941U,	// SETBEm
2581    22021U,	// SETBEr
2582    0U,	// SETB_C16r
2583    0U,	// SETB_C32r
2584    0U,	// SETB_C64r
2585    0U,	// SETB_C8r
2586    101969U,	// SETBm
2587    20049U,	// SETBr
2588    104100U,	// SETEm
2589    22180U,	// SETEr
2590    103986U,	// SETGEm
2591    22066U,	// SETGEr
2592    104186U,	// SETGm
2593    22266U,	// SETGr
2594    104010U,	// SETLEm
2595    22090U,	// SETLEr
2596    104540U,	// SETLm
2597    22620U,	// SETLr
2598    104038U,	// SETNEm
2599    22118U,	// SETNEr
2600    104663U,	// SETNOm
2601    22743U,	// SETNOr
2602    104775U,	// SETNPm
2603    22855U,	// SETNPr
2604    105709U,	// SETNSm
2605    23789U,	// SETNSr
2606    104678U,	// SETOm
2607    22758U,	// SETOr
2608    104822U,	// SETPm
2609    22902U,	// SETPr
2610    106887U,	// SETSm
2611    24967U,	// SETSr
2612    11421U,	// SFENCE
2613    287134U,	// SGDT16m
2614    287134U,	// SGDT32m
2615    287134U,	// SGDT64m
2616    403721070U,	// SHA1MSG1rm
2617    34622318U,	// SHA1MSG1rr
2618    403721153U,	// SHA1MSG2rm
2619    34622401U,	// SHA1MSG2rr
2620    403723955U,	// SHA1NEXTErm
2621    34625203U,	// SHA1NEXTErr
2622    2551204913U,	// SHA1RNDS4rmi
2623    2182106161U,	// SHA1RNDS4rri
2624    403721080U,	// SHA256MSG1rm
2625    34622328U,	// SHA256MSG1rr
2626    403721163U,	// SHA256MSG2rm
2627    34622411U,	// SHA256MSG2rr
2628    403721175U,	// SHA256RNDS2rm
2629    34622423U,	// SHA256RNDS2rr
2630    13670419U,	// SHL16m1
2631    14718995U,	// SHL16mCL
2632    1087507U,	// SHL16mi
2633    13654035U,	// SHL16r1
2634    14702611U,	// SHL16rCL
2635    34625555U,	// SHL16ri
2636    13703187U,	// SHL32m1
2637    14751763U,	// SHL32mCL
2638    1120275U,	// SHL32mi
2639    13654035U,	// SHL32r1
2640    14702611U,	// SHL32rCL
2641    34625555U,	// SHL32ri
2642    13719571U,	// SHL64m1
2643    14768147U,	// SHL64mCL
2644    1136659U,	// SHL64mi
2645    13654035U,	// SHL64r1
2646    14702611U,	// SHL64rCL
2647    34625555U,	// SHL64ri
2648    13735955U,	// SHL8m1
2649    14784531U,	// SHL8mCL
2650    1153043U,	// SHL8mi
2651    13654035U,	// SHL8r1
2652    14702611U,	// SHL8rCL
2653    34625555U,	// SHL8ri
2654    2148569095U,	// SHLD16mrCL
2655    2148569095U,	// SHLD16mri8
2656    2182107143U,	// SHLD16rrCL
2657    2182107143U,	// SHLD16rri8
2658    2148601863U,	// SHLD32mrCL
2659    2148601863U,	// SHLD32mri8
2660    2182107143U,	// SHLD32rrCL
2661    2182107143U,	// SHLD32rri8
2662    2148618247U,	// SHLD64mrCL
2663    2148618247U,	// SHLD64mri8
2664    2182107143U,	// SHLD64rrCL
2665    2182107143U,	// SHLD64rri8
2666    2450547984U,	// SHLX32rm
2667    2484102416U,	// SHLX32rr
2668    2517656848U,	// SHLX64rm
2669    2484102416U,	// SHLX64rr
2670    13671494U,	// SHR16m1
2671    14720070U,	// SHR16mCL
2672    1088582U,	// SHR16mi
2673    13655110U,	// SHR16r1
2674    14703686U,	// SHR16rCL
2675    34626630U,	// SHR16ri
2676    13704262U,	// SHR32m1
2677    14752838U,	// SHR32mCL
2678    1121350U,	// SHR32mi
2679    13655110U,	// SHR32r1
2680    14703686U,	// SHR32rCL
2681    34626630U,	// SHR32ri
2682    13720646U,	// SHR64m1
2683    14769222U,	// SHR64mCL
2684    1137734U,	// SHR64mi
2685    13655110U,	// SHR64r1
2686    14703686U,	// SHR64rCL
2687    34626630U,	// SHR64ri
2688    13737030U,	// SHR8m1
2689    14785606U,	// SHR8mCL
2690    1154118U,	// SHR8mi
2691    13655110U,	// SHR8r1
2692    14703686U,	// SHR8rCL
2693    34626630U,	// SHR8ri
2694    2148569922U,	// SHRD16mrCL
2695    2148569922U,	// SHRD16mri8
2696    2182107970U,	// SHRD16rrCL
2697    2182107970U,	// SHRD16rri8
2698    2148602690U,	// SHRD32mrCL
2699    2148602690U,	// SHRD32mri8
2700    2182107970U,	// SHRD32rrCL
2701    2182107970U,	// SHRD32rri8
2702    2148619074U,	// SHRD64mrCL
2703    2148619074U,	// SHRD64mri8
2704    2182107970U,	// SHRD64rrCL
2705    2182107970U,	// SHRD64rri8
2706    2450548033U,	// SHRX32rm
2707    2484102465U,	// SHRX32rr
2708    2517656897U,	// SHRX64rm
2709    2484102465U,	// SHRX64rr
2710    2349879869U,	// SHUFPDrmi
2711    2182107709U,	// SHUFPDrri
2712    2349883096U,	// SHUFPSrmi
2713    2182110936U,	// SHUFPSrri
2714    287146U,	// SIDT16m
2715    287146U,	// SIDT32m
2716    287146U,	// SIDT64m
2717    11713U,	// SIN_F
2718    0U,	// SIN_Fp32
2719    0U,	// SIN_Fp64
2720    0U,	// SIN_Fp80
2721    12287U,	// SKINIT
2722    41398U,	// SLDT16m
2723    25014U,	// SLDT16r
2724    25014U,	// SLDT32r
2725    41398U,	// SLDT64m
2726    25014U,	// SLDT64r
2727    42056U,	// SMSW16m
2728    25672U,	// SMSW16r
2729    25672U,	// SMSW32r
2730    25672U,	// SMSW64r
2731    537940706U,	// SQRTPDm
2732    336614114U,	// SQRTPDr
2733    537943977U,	// SQRTPSm
2734    336617385U,	// SQRTPSr
2735    571495599U,	// SQRTSDm
2736    571495599U,	// SQRTSDm_Int
2737    336614575U,	// SQRTSDr
2738    336614575U,	// SQRTSDr_Int
2739    605053250U,	// SQRTSSm
2740    605053250U,	// SQRTSSm_Int
2741    336617794U,	// SQRTSSr
2742    336617794U,	// SQRTSSr_Int
2743    12154U,	// SQRT_F
2744    0U,	// SQRT_Fp32
2745    0U,	// SQRT_Fp64
2746    0U,	// SQRT_Fp80
2747    11273U,	// STAC
2748    11327U,	// STC
2749    11385U,	// STD
2750    11575U,	// STGI
2751    11590U,	// STI
2752    72846U,	// STMXCSR
2753    12881430U,	// STOSB
2754    10802326U,	// STOSL
2755    12147567U,	// STOSQ
2756    9774167U,	// STOSW
2757    23719U,	// STR16r
2758    23719U,	// STR32r
2759    23719U,	// STR64r
2760    40103U,	// STRm
2761    123439U,	// ST_F32m
2762    139823U,	// ST_F64m
2763    26100U,	// ST_FCOMPST0r
2764    26100U,	// ST_FCOMPST0r_alt
2765    26087U,	// ST_FCOMST0r
2766    121219U,	// ST_FP32m
2767    137603U,	// ST_FP64m
2768    383363U,	// ST_FP80m
2769    2119188U,	// ST_FPNCEST0r
2770    2120067U,	// ST_FPST0r
2771    2120067U,	// ST_FPST0r_alt
2772    22915U,	// ST_FPrr
2773    26074U,	// ST_FXCHST0r
2774    26074U,	// ST_FXCHST0r_alt
2775    0U,	// ST_Fp32m
2776    0U,	// ST_Fp64m
2777    0U,	// ST_Fp64m32
2778    0U,	// ST_Fp80m32
2779    0U,	// ST_Fp80m64
2780    0U,	// ST_FpP32m
2781    0U,	// ST_FpP64m
2782    0U,	// ST_FpP64m32
2783    0U,	// ST_FpP80m
2784    0U,	// ST_FpP80m32
2785    0U,	// ST_FpP80m64
2786    25135U,	// ST_Frr
2787    26278U,	// SUB16i16
2788    1085067U,	// SUB16mi
2789    1085067U,	// SUB16mi8
2790    1085067U,	// SUB16mr
2791    34655883U,	// SUB16ri
2792    34655883U,	// SUB16ri8
2793    68210315U,	// SUB16rm
2794    34655883U,	// SUB16rr
2795    34623115U,	// SUB16rr_REV
2796    26413U,	// SUB32i32
2797    1117835U,	// SUB32mi
2798    1117835U,	// SUB32mi8
2799    1117835U,	// SUB32mr
2800    34655883U,	// SUB32ri
2801    34655883U,	// SUB32ri8
2802    101764747U,	// SUB32rm
2803    34655883U,	// SUB32rr
2804    34623115U,	// SUB32rr_REV
2805    26561U,	// SUB64i32
2806    1134219U,	// SUB64mi32
2807    1134219U,	// SUB64mi8
2808    1134219U,	// SUB64mr
2809    34655883U,	// SUB64ri32
2810    34655883U,	// SUB64ri8
2811    135319179U,	// SUB64rm
2812    34655883U,	// SUB64rr
2813    34623115U,	// SUB64rr_REV
2814    26176U,	// SUB8i8
2815    1150603U,	// SUB8mi
2816    1150603U,	// SUB8mr
2817    34655883U,	// SUB8ri
2818    34655883U,	// SUB8ri8
2819    168873611U,	// SUB8rm
2820    34655883U,	// SUB8rr
2821    34623115U,	// SUB8rr_REV
2822    202396073U,	// SUBPDrm
2823    34623913U,	// SUBPDrr
2824    202399300U,	// SUBPSrm
2825    34627140U,	// SUBPSrr
2826    121899U,	// SUBR_F32m
2827    138283U,	// SUBR_F64m
2828    39986U,	// SUBR_FI16m
2829    72754U,	// SUBR_FI32m
2830    22886U,	// SUBR_FPrST0
2831    23595U,	// SUBR_FST0r
2832    0U,	// SUBR_Fp32m
2833    0U,	// SUBR_Fp64m
2834    0U,	// SUBR_Fp64m32
2835    0U,	// SUBR_Fp80m32
2836    0U,	// SUBR_Fp80m64
2837    0U,	// SUBR_FpI16m32
2838    0U,	// SUBR_FpI16m64
2839    0U,	// SUBR_FpI16m80
2840    0U,	// SUBR_FpI32m32
2841    0U,	// SUBR_FpI32m64
2842    0U,	// SUBR_FpI32m80
2843    2120747U,	// SUBR_FrST0
2844    235951145U,	// SUBSDrm
2845    235951145U,	// SUBSDrm_Int
2846    34624553U,	// SUBSDrr
2847    34624553U,	// SUBSDrr_Int
2848    269508803U,	// SUBSSrm
2849    269508803U,	// SUBSSrm_Int
2850    34627779U,	// SUBSSrr
2851    34627779U,	// SUBSSrr_Int
2852    118410U,	// SUB_F32m
2853    134794U,	// SUB_F64m
2854    36496U,	// SUB_FI16m
2855    69264U,	// SUB_FI32m
2856    22778U,	// SUB_FPrST0
2857    20106U,	// SUB_FST0r
2858    0U,	// SUB_Fp32
2859    0U,	// SUB_Fp32m
2860    0U,	// SUB_Fp64
2861    0U,	// SUB_Fp64m
2862    0U,	// SUB_Fp64m32
2863    0U,	// SUB_Fp80
2864    0U,	// SUB_Fp80m32
2865    0U,	// SUB_Fp80m64
2866    0U,	// SUB_FpI16m32
2867    0U,	// SUB_FpI16m64
2868    0U,	// SUB_FpI16m80
2869    0U,	// SUB_FpI32m32
2870    0U,	// SUB_FpI32m64
2871    0U,	// SUB_FpI32m80
2872    2117258U,	// SUB_FrST0
2873    11992U,	// SWAPGS
2874    11653U,	// SYSCALL
2875    11872U,	// SYSENTER
2876    12134U,	// SYSEXIT
2877    12134U,	// SYSEXIT64
2878    12114U,	// SYSRET
2879    12114U,	// SYSRET64
2880    303058684U,	// T1MSKC32rm
2881    336613116U,	// T1MSKC32rr
2882    370167548U,	// T1MSKC64rm
2883    336613116U,	// T1MSKC64rr
2884    15882534U,	// TAILJMPd
2885    15882534U,	// TAILJMPd64
2886    15800614U,	// TAILJMPm
2887    15816998U,	// TAILJMPm64
2888    0U,	// TAILJMPr
2889    15751462U,	// TAILJMPr64
2890    0U,	// TCRETURNdi
2891    0U,	// TCRETURNdi64
2892    0U,	// TCRETURNmi
2893    0U,	// TCRETURNmi64
2894    0U,	// TCRETURNri
2895    0U,	// TCRETURNri64
2896    26362U,	// TEST16i16
2897    1090089U,	// TEST16mi
2898    1090089U,	// TEST16mi_alt
2899    336618025U,	// TEST16ri
2900    336618025U,	// TEST16ri_alt
2901    1090089U,	// TEST16rm
2902    336618025U,	// TEST16rr
2903    26530U,	// TEST32i32
2904    1122857U,	// TEST32mi
2905    1122857U,	// TEST32mi_alt
2906    336618025U,	// TEST32ri
2907    336618025U,	// TEST32ri_alt
2908    1122857U,	// TEST32rm
2909    336618025U,	// TEST32rr
2910    26669U,	// TEST64i32
2911    1139241U,	// TEST64mi32
2912    1139241U,	// TEST64mi32_alt
2913    336618025U,	// TEST64ri32
2914    336618025U,	// TEST64ri32_alt
2915    1139241U,	// TEST64rm
2916    336618025U,	// TEST64rr
2917    26250U,	// TEST8i8
2918    1155625U,	// TEST8mi
2919    1155625U,	// TEST8mi_alt
2920    336618025U,	// TEST8ri
2921    0U,	// TEST8ri_NOREX
2922    336618025U,	// TEST8ri_alt
2923    1155625U,	// TEST8rm
2924    336618025U,	// TEST8rr
2925    10930U,	// TLSCall_32
2926    11034U,	// TLSCall_64
2927    10943U,	// TLS_addr32
2928    11047U,	// TLS_addr64
2929    10956U,	// TLS_base_addr32
2930    11060U,	// TLS_base_addr64
2931    10974U,	// TRAP
2932    12166U,	// TST_F
2933    0U,	// TST_Fp32
2934    0U,	// TST_Fp64
2935    0U,	// TST_Fp80
2936    470835673U,	// TZCNT16rm
2937    336617945U,	// TZCNT16rr
2938    303063513U,	// TZCNT32rm
2939    336617945U,	// TZCNT32rr
2940    370172377U,	// TZCNT64rm
2941    336617945U,	// TZCNT64rr
2942    303060994U,	// TZMSK32rm
2943    336615426U,	// TZMSK32rr
2944    370169858U,	// TZMSK64rm
2945    336615426U,	// TZMSK64rr
2946    571495531U,	// UCOMISDrm
2947    336614507U,	// UCOMISDrr
2948    605053189U,	// UCOMISSrm
2949    336617733U,	// UCOMISSrr
2950    22421U,	// UCOM_FIPr
2951    22363U,	// UCOM_FIr
2952    11788U,	// UCOM_FPPr
2953    22842U,	// UCOM_FPr
2954    0U,	// UCOM_FpIr32
2955    0U,	// UCOM_FpIr64
2956    0U,	// UCOM_FpIr80
2957    0U,	// UCOM_Fpr32
2958    0U,	// UCOM_Fpr64
2959    0U,	// UCOM_Fpr80
2960    22665U,	// UCOM_Fr
2961    11207U,	// UD2B
2962    202396230U,	// UNPCKHPDrm
2963    34624070U,	// UNPCKHPDrr
2964    202399457U,	// UNPCKHPSrm
2965    34627297U,	// UNPCKHPSrr
2966    202396272U,	// UNPCKLPDrm
2967    34624112U,	// UNPCKLPDrr
2968    202399519U,	// UNPCKLPSrm
2969    34627359U,	// UNPCKLPSrr
2970    2651875417U,	// VAARG_64
2971    2484097548U,	// VADDPDYrm
2972    2484097548U,	// VADDPDYrr
2973    2484093636U,	// VADDPDZrm
2974    2484093636U,	// VADDPDZrmb
2975    352338628U,	// VADDPDZrmbk
2976    2499822276U,	// VADDPDZrmbkz
2977    352342540U,	// VADDPDZrmk
2978    2499826188U,	// VADDPDZrmkz
2979    2484093636U,	// VADDPDZrr
2980    352338628U,	// VADDPDZrrk
2981    2499822276U,	// VADDPDZrrkz
2982    2484097548U,	// VADDPDrm
2983    2484097548U,	// VADDPDrr
2984    2484100775U,	// VADDPSYrm
2985    2484100775U,	// VADDPSYrr
2986    2484095340U,	// VADDPSZrm
2987    2484095340U,	// VADDPSZrmb
2988    352340332U,	// VADDPSZrmbk
2989    2499823980U,	// VADDPSZrmbkz
2990    352345767U,	// VADDPSZrmk
2991    2499829415U,	// VADDPSZrmkz
2992    2484095340U,	// VADDPSZrr
2993    352340332U,	// VADDPSZrrk
2994    2499823980U,	// VADDPSZrrkz
2995    2484100775U,	// VADDPSrm
2996    2484100775U,	// VADDPSrr
2997    2484098136U,	// VADDSDZrm
2998    2484098136U,	// VADDSDZrr
2999    2484098136U,	// VADDSDrm
3000    2484098136U,	// VADDSDrm_Int
3001    2484098136U,	// VADDSDrr
3002    2484098136U,	// VADDSDrr_Int
3003    2484101362U,	// VADDSSZrm
3004    2484101362U,	// VADDSSZrr
3005    2484101362U,	// VADDSSrm
3006    2484101362U,	// VADDSSrm_Int
3007    2484101362U,	// VADDSSrr
3008    2484101362U,	// VADDSSrr_Int
3009    2484097456U,	// VADDSUBPDYrm
3010    2484097456U,	// VADDSUBPDYrr
3011    2484097456U,	// VADDSUBPDrm
3012    2484097456U,	// VADDSUBPDrr
3013    2484100683U,	// VADDSUBPSYrm
3014    2484100683U,	// VADDSUBPSYrr
3015    2484100683U,	// VADDSUBPSrm
3016    2484100683U,	// VADDSUBPSrr
3017    2484101645U,	// VAESDECLASTrm
3018    2484101645U,	// VAESDECLASTrr
3019    2484096741U,	// VAESDECrm
3020    2484096741U,	// VAESDECrr
3021    2484101658U,	// VAESENCLASTrm
3022    2484101658U,	// VAESENCLASTrr
3023    2484096781U,	// VAESENCrm
3024    2484096781U,	// VAESENCrr
3025    437276420U,	// VAESIMCrm
3026    336613124U,	// VAESIMCrr
3027    2584764986U,	// VAESKEYGENASSIST128rm
3028    2484101690U,	// VAESKEYGENASSIST128rr
3029    2484093324U,	// VALIGNDrmi
3030    2484093324U,	// VALIGNDrri
3031    50348428U,	// VALIGNDrrik
3032    2499821964U,	// VALIGNDrrikz
3033    2484094801U,	// VALIGNQrmi
3034    2484094801U,	// VALIGNQrri
3035    50349905U,	// VALIGNQrrik
3036    2499823441U,	// VALIGNQrrikz
3037    2484097692U,	// VANDNPDYrm
3038    2484097692U,	// VANDNPDYrr
3039    2484097692U,	// VANDNPDrm
3040    2484097692U,	// VANDNPDrr
3041    2484100931U,	// VANDNPSYrm
3042    2484100931U,	// VANDNPSYrr
3043    2484100931U,	// VANDNPSrm
3044    2484100931U,	// VANDNPSrr
3045    2484097556U,	// VANDPDYrm
3046    2484097556U,	// VANDPDYrr
3047    2484097556U,	// VANDPDrm
3048    2484097556U,	// VANDPDrr
3049    2484100783U,	// VANDPSYrm
3050    2484100783U,	// VANDPSYrr
3051    2484100783U,	// VANDPSrm
3052    2484100783U,	// VANDPSrr
3053    2484103305U,	// VASTART_SAVE_XMM_REGS
3054    352338709U,	// VBLENDMPDZrm
3055    352338709U,	// VBLENDMPDZrr
3056    352340413U,	// VBLENDMPSZrm
3057    352340413U,	// VBLENDMPSZrr
3058    2484097564U,	// VBLENDPDYrmi
3059    2484097564U,	// VBLENDPDYrri
3060    2484097564U,	// VBLENDPDrmi
3061    2484097564U,	// VBLENDPDrri
3062    2484100791U,	// VBLENDPSYrmi
3063    2484100791U,	// VBLENDPSYrri
3064    2484100791U,	// VBLENDPSrmi
3065    2484100791U,	// VBLENDPSrri
3066    2484097788U,	// VBLENDVPDYrm
3067    2484097788U,	// VBLENDVPDYrr
3068    2484097788U,	// VBLENDVPDrm
3069    2484097788U,	// VBLENDVPDrr
3070    2484101068U,	// VBLENDVPSYrm
3071    2484101068U,	// VBLENDVPSYrr
3072    2484101068U,	// VBLENDVPSrm
3073    2484101068U,	// VBLENDVPSrr
3074    537939170U,	// VBROADCASTF128
3075    437275929U,	// VBROADCASTI128
3076    2499821569U,	// VBROADCASTI32X4krm
3077    437272577U,	// VBROADCASTI32X4rm
3078    2499821587U,	// VBROADCASTI64X4krm
3079    839925779U,	// VBROADCASTI64X4rm
3080    571495607U,	// VBROADCASTSDYrm
3081    336614583U,	// VBROADCASTSDYrr
3082    571491443U,	// VBROADCASTSDZrm
3083    336610419U,	// VBROADCASTSDZrr
3084    605053267U,	// VBROADCASTSSYrm
3085    336617811U,	// VBROADCASTSSYrr
3086    605047459U,	// VBROADCASTSSZrm
3087    336612003U,	// VBROADCASTSSZrr
3088    605053267U,	// VBROADCASTSSrm
3089    336617811U,	// VBROADCASTSSrr
3090    2486529531U,	// VCMPPDYrmi
3091    2484097716U,	// VCMPPDYrmi_alt
3092    2486545915U,	// VCMPPDYrri
3093    2484097716U,	// VCMPPDYrri_alt
3094    890596859U,	// VCMPPDZrmi
3095    2484093748U,	// VCMPPDZrmi_alt
3096    51752443U,	// VCMPPDZrri
3097    2484093748U,	// VCMPPDZrri_alt
3098    51752443U,	// VCMPPDZrrib
3099    2486529531U,	// VCMPPDrmi
3100    2484097716U,	// VCMPPDrmi_alt
3101    2486545915U,	// VCMPPDrri
3102    2484097716U,	// VCMPPDrri_alt
3103    2487578107U,	// VCMPPSYrmi
3104    2484100963U,	// VCMPPSYrmi_alt
3105    2487594491U,	// VCMPPSYrri
3106    2484100963U,	// VCMPPSYrri_alt
3107    891645435U,	// VCMPPSZrmi
3108    2484095452U,	// VCMPPSZrmi_alt
3109    52801019U,	// VCMPPSZrri
3110    2484095452U,	// VCMPPSZrri_alt
3111    52801019U,	// VCMPPSZrrib
3112    2487578107U,	// VCMPPSrmi
3113    2484100963U,	// VCMPPSrmi_alt
3114    2487594491U,	// VCMPPSrri
3115    2484100963U,	// VCMPPSrri_alt
3116    2488626683U,	// VCMPSDZrm
3117    2484098205U,	// VCMPSDZrmi_alt
3118    2488643067U,	// VCMPSDZrr
3119    2484098205U,	// VCMPSDZrri_alt
3120    2488626683U,	// VCMPSDrm
3121    2484098205U,	// VCMPSDrm_alt
3122    2488643067U,	// VCMPSDrr
3123    2484098205U,	// VCMPSDrr_alt
3124    2489675259U,	// VCMPSSZrm
3125    2484101423U,	// VCMPSSZrmi_alt
3126    2489691643U,	// VCMPSSZrr
3127    2484101423U,	// VCMPSSZrri_alt
3128    2489675259U,	// VCMPSSrm
3129    2484101423U,	// VCMPSSrm_alt
3130    2489691643U,	// VCMPSSrr
3131    2484101423U,	// VCMPSSrr_alt
3132    537941108U,	// VCOMISDZrm
3133    336614516U,	// VCOMISDZrr
3134    537941108U,	// VCOMISDrm
3135    336614516U,	// VCOMISDrr
3136    537944334U,	// VCOMISSZrm
3137    336617742U,	// VCOMISSZrr
3138    537944334U,	// VCOMISSrm
3139    336617742U,	// VCOMISSrr
3140    437276966U,	// VCVTDQ2PDYrm
3141    336613670U,	// VCVTDQ2PDYrr
3142    839926270U,	// VCVTDQ2PDZrm
3143    336609790U,	// VCVTDQ2PDZrr
3144    370168102U,	// VCVTDQ2PDrm
3145    336613670U,	// VCVTDQ2PDrr
3146    839933396U,	// VCVTDQ2PSYrm
3147    336616916U,	// VCVTDQ2PSYrr
3148    907036850U,	// VCVTDQ2PSZrm
3149    336611506U,	// VCVTDQ2PSZrr
3150    2484095154U,	// VCVTDQ2PSZrrb
3151    437280212U,	// VCVTDQ2PSrm
3152    336616916U,	// VCVTDQ2PSrr
3153    537945391U,	// VCVTPD2DQXrm
3154    940595750U,	// VCVTPD2DQYrm
3155    336615974U,	// VCVTPD2DQYrr
3156    974145037U,	// VCVTPD2DQZrm
3157    336610829U,	// VCVTPD2DQZrr
3158    2484094477U,	// VCVTPD2DQZrrb
3159    336615974U,	// VCVTPD2DQrr
3160    537945421U,	// VCVTPD2PSXrm
3161    940596648U,	// VCVTPD2PSYrm
3162    336616872U,	// VCVTPD2PSYrr
3163    974145690U,	// VCVTPD2PSZrm
3164    336611482U,	// VCVTPD2PSZrr
3165    2484095130U,	// VCVTPD2PSZrrb
3166    336616872U,	// VCVTPD2PSrr
3167    974145205U,	// VCVTPD2UDQZrm
3168    336610997U,	// VCVTPD2UDQZrr
3169    2484094645U,	// VCVTPD2UDQZrrb
3170    537943475U,	// VCVTPH2PSYrm
3171    336616883U,	// VCVTPH2PSYrr
3172    940596659U,	// VCVTPH2PSZrm
3173    336616883U,	// VCVTPH2PSZrr
3174    571497907U,	// VCVTPH2PSrm
3175    336616883U,	// VCVTPH2PSrr
3176    940595782U,	// VCVTPS2DQYrm
3177    336616006U,	// VCVTPS2DQYrr
3178    974145062U,	// VCVTPS2DQZrm
3179    336610854U,	// VCVTPS2DQZrr
3180    2484094502U,	// VCVTPS2DQZrrb
3181    537942598U,	// VCVTPS2DQrm
3182    336616006U,	// VCVTPS2DQrr
3183    537940273U,	// VCVTPS2PDYrm
3184    336613681U,	// VCVTPS2PDYrr
3185    940589591U,	// VCVTPS2PDZrm
3186    336609815U,	// VCVTPS2PDZrr
3187    571494705U,	// VCVTPS2PDrm
3188    336613681U,	// VCVTPS2PDrr
3189    2149127959U,	// VCVTPS2PHYmr
3190    2484098839U,	// VCVTPS2PHYrr
3191    2149156119U,	// VCVTPS2PHZmr
3192    2484094231U,	// VCVTPS2PHZrr
3193    2148669207U,	// VCVTPS2PHmr
3194    2484098839U,	// VCVTPS2PHrr
3195    974145232U,	// VCVTPS2UDQZrm
3196    336611024U,	// VCVTPS2UDQZrr
3197    2484094672U,	// VCVTPS2UDQZrrb
3198    571491632U,	// VCVTSD2SI64Zrm
3199    336610608U,	// VCVTSD2SI64Zrr
3200    571496386U,	// VCVTSD2SI64rm
3201    336615362U,	// VCVTSD2SI64rr
3202    571491632U,	// VCVTSD2SIZrm
3203    336610608U,	// VCVTSD2SIZrr
3204    571496386U,	// VCVTSD2SIrm
3205    336615362U,	// VCVTSD2SIrr
3206    2484101224U,	// VCVTSD2SSZrm
3207    2484101224U,	// VCVTSD2SSZrr
3208    2484101224U,	// VCVTSD2SSrm
3209    2484101224U,	// VCVTSD2SSrr
3210    571491683U,	// VCVTSD2USI64Zrm
3211    336610659U,	// VCVTSD2USI64Zrr
3212    571491683U,	// VCVTSD2USIZrm
3213    336610659U,	// VCVTSD2USIZrr
3214    2484097990U,	// VCVTSI2SD64rm
3215    2484097990U,	// VCVTSI2SD64rr
3216    2484093903U,	// VCVTSI2SDZrm
3217    2484093903U,	// VCVTSI2SDZrr
3218    2484097990U,	// VCVTSI2SDrm
3219    2484097990U,	// VCVTSI2SDrr
3220    2484101235U,	// VCVTSI2SS64rm
3221    2484101235U,	// VCVTSI2SS64rr
3222    2484095506U,	// VCVTSI2SSZrm
3223    2484095506U,	// VCVTSI2SSZrr
3224    2484101235U,	// VCVTSI2SSrm
3225    2484101235U,	// VCVTSI2SSrr
3226    2484093903U,	// VCVTSI642SDZrm
3227    2484093903U,	// VCVTSI642SDZrr
3228    2484095506U,	// VCVTSI642SSZrm
3229    2484095506U,	// VCVTSI642SSZrr
3230    2484098013U,	// VCVTSS2SDZrm
3231    2484098013U,	// VCVTSS2SDZrr
3232    2484098013U,	// VCVTSS2SDrm
3233    2484098013U,	// VCVTSS2SDrr
3234    605046089U,	// VCVTSS2SI64Zrm
3235    336610633U,	// VCVTSS2SI64Zrr
3236    605050841U,	// VCVTSS2SI64rm
3237    336615385U,	// VCVTSS2SI64rr
3238    605046089U,	// VCVTSS2SIZrm
3239    336610633U,	// VCVTSS2SIZrr
3240    605050841U,	// VCVTSS2SIrm
3241    336615385U,	// VCVTSS2SIrr
3242    605046142U,	// VCVTSS2USI64Zrm
3243    336610686U,	// VCVTSS2USI64Zrr
3244    605046142U,	// VCVTSS2USIZrm
3245    336610686U,	// VCVTSS2USIZrr
3246    537945378U,	// VCVTTPD2DQXrm
3247    940595738U,	// VCVTTPD2DQYrm
3248    336615962U,	// VCVTTPD2DQYrr
3249    974145024U,	// VCVTTPD2DQZrm
3250    336610816U,	// VCVTTPD2DQZrr
3251    336615962U,	// VCVTTPD2DQrr
3252    974145191U,	// VCVTTPD2UDQZrm
3253    336610983U,	// VCVTTPD2UDQZrr
3254    940595770U,	// VCVTTPS2DQYrm
3255    336615994U,	// VCVTTPS2DQYrr
3256    974145049U,	// VCVTTPS2DQZrm
3257    336610841U,	// VCVTTPS2DQZrr
3258    537942586U,	// VCVTTPS2DQrm
3259    336615994U,	// VCVTTPS2DQrr
3260    974145218U,	// VCVTTPS2UDQZrm
3261    336611010U,	// VCVTTPS2UDQZrr
3262    571491619U,	// VCVTTSD2SI64Zrm
3263    336610595U,	// VCVTTSD2SI64Zrr
3264    571496374U,	// VCVTTSD2SI64rm
3265    336615350U,	// VCVTTSD2SI64rr
3266    571491619U,	// VCVTTSD2SIZrm
3267    336610595U,	// VCVTTSD2SIZrr
3268    571496374U,	// VCVTTSD2SIrm
3269    336615350U,	// VCVTTSD2SIrr
3270    571491669U,	// VCVTTSD2USI64Zrm
3271    336610645U,	// VCVTTSD2USI64Zrr
3272    571491669U,	// VCVTTSD2USIZrm
3273    336610645U,	// VCVTTSD2USIZrr
3274    605046076U,	// VCVTTSS2SI64Zrm
3275    336610620U,	// VCVTTSS2SI64Zrr
3276    605050829U,	// VCVTTSS2SI64rm
3277    336615373U,	// VCVTTSS2SI64rr
3278    605046076U,	// VCVTTSS2SIZrm
3279    336610620U,	// VCVTTSS2SIZrr
3280    605050829U,	// VCVTTSS2SIrm
3281    336615373U,	// VCVTTSS2SIrr
3282    605046128U,	// VCVTTSS2USI64Zrm
3283    336610672U,	// VCVTTSS2USI64Zrr
3284    605046128U,	// VCVTTSS2USIZrm
3285    336610672U,	// VCVTTSS2USIZrr
3286    940589578U,	// VCVTUDQ2PDZrm
3287    336609802U,	// VCVTUDQ2PDZrr
3288    974145726U,	// VCVTUDQ2PSZrm
3289    336611518U,	// VCVTUDQ2PSZrr
3290    2484095166U,	// VCVTUDQ2PSZrrb
3291    2484093915U,	// VCVTUSI2SDZrm
3292    2484093915U,	// VCVTUSI2SDZrr
3293    2484095518U,	// VCVTUSI2SSZrm
3294    2484095518U,	// VCVTUSI2SSZrr
3295    2484093915U,	// VCVTUSI642SDZrm
3296    2484093915U,	// VCVTUSI642SDZrr
3297    2484095518U,	// VCVTUSI642SSZrm
3298    2484095518U,	// VCVTUSI642SSZrr
3299    2484097799U,	// VDIVPDYrm
3300    2484097799U,	// VDIVPDYrr
3301    2484093784U,	// VDIVPDZrm
3302    2484093784U,	// VDIVPDZrmb
3303    352338776U,	// VDIVPDZrmbk
3304    2499822424U,	// VDIVPDZrmbkz
3305    352342791U,	// VDIVPDZrmk
3306    2499826439U,	// VDIVPDZrmkz
3307    2484093784U,	// VDIVPDZrr
3308    352338776U,	// VDIVPDZrrk
3309    2499822424U,	// VDIVPDZrrkz
3310    2484097799U,	// VDIVPDrm
3311    2484097799U,	// VDIVPDrr
3312    2484101079U,	// VDIVPSYrm
3313    2484101079U,	// VDIVPSYrr
3314    2484095488U,	// VDIVPSZrm
3315    2484095488U,	// VDIVPSZrmb
3316    352340480U,	// VDIVPSZrmbk
3317    2499824128U,	// VDIVPSZrmbkz
3318    352346071U,	// VDIVPSZrmk
3319    2499829719U,	// VDIVPSZrmkz
3320    2484095488U,	// VDIVPSZrr
3321    352340480U,	// VDIVPSZrrk
3322    2499824128U,	// VDIVPSZrrkz
3323    2484101079U,	// VDIVPSrm
3324    2484101079U,	// VDIVPSrr
3325    2484098245U,	// VDIVSDZrm
3326    2484098245U,	// VDIVSDZrr
3327    2484098245U,	// VDIVSDrm
3328    2484098245U,	// VDIVSDrm_Int
3329    2484098245U,	// VDIVSDrr
3330    2484098245U,	// VDIVSDrr_Int
3331    2484101473U,	// VDIVSSZrm
3332    2484101473U,	// VDIVSSZrr
3333    2484101473U,	// VDIVSSrm
3334    2484101473U,	// VDIVSSrm_Int
3335    2484101473U,	// VDIVSSrr
3336    2484101473U,	// VDIVSSrr_Int
3337    2484097709U,	// VDPPDrmi
3338    2484097709U,	// VDPPDrri
3339    2484100956U,	// VDPPSYrmi
3340    2484100956U,	// VDPPSYrri
3341    2484100956U,	// VDPPSrmi
3342    2484100956U,	// VDPPSrri
3343    40056U,	// VERRm
3344    23672U,	// VERRr
3345    41959U,	// VERWm
3346    25575U,	// VERWr
3347    2149125319U,	// VEXTRACTF128mr
3348    2484096199U,	// VEXTRACTF128rr
3349    2149125180U,	// VEXTRACTF32x4mr
3350    2484096060U,	// VEXTRACTF32x4rr
3351    2149158006U,	// VEXTRACTF64x4mr
3352    2484096118U,	// VEXTRACTF64x4rr
3353    2148797694U,	// VEXTRACTI128mr
3354    2484096254U,	// VEXTRACTI128rr
3355    2148797529U,	// VEXTRACTI32x4mr
3356    2484096089U,	// VEXTRACTI32x4rr
3357    2149174419U,	// VEXTRACTI64x4mr
3358    2484096147U,	// VEXTRACTI64x4rr
3359    2148654982U,	// VEXTRACTPSmr
3360    2484100998U,	// VEXTRACTPSrr
3361    2148654982U,	// VEXTRACTPSzmr
3362    2484100998U,	// VEXTRACTPSzrr
3363    2182103509U,	// VFMADD132PDZm
3364    2182103509U,	// VFMADD132PDZmb
3365    2182105213U,	// VFMADD132PSZm
3366    2182105213U,	// VFMADD132PSZmb
3367    2182103662U,	// VFMADD213PDZm
3368    2182103662U,	// VFMADD213PDZmb
3369    2182103662U,	// VFMADD213PDZr
3370    50348654U,	// VFMADD213PDZrk
3371    2197832302U,	// VFMADD213PDZrkz
3372    2182105366U,	// VFMADD213PSZm
3373    2182105366U,	// VFMADD213PSZmb
3374    2182105366U,	// VFMADD213PSZr
3375    50350358U,	// VFMADD213PSZrk
3376    2197834006U,	// VFMADD213PSZrkz
3377    2484097527U,	// VFMADDPD4mr
3378    2484097527U,	// VFMADDPD4mrY
3379    2484097527U,	// VFMADDPD4rm
3380    2484097527U,	// VFMADDPD4rmY
3381    2484097527U,	// VFMADDPD4rr
3382    2484097527U,	// VFMADDPD4rrY
3383    2484097527U,	// VFMADDPD4rrY_REV
3384    2484097527U,	// VFMADDPD4rr_REV
3385    2182107381U,	// VFMADDPDr132m
3386    2182107381U,	// VFMADDPDr132mY
3387    2182107381U,	// VFMADDPDr132r
3388    2182107381U,	// VFMADDPDr132rY
3389    2182107511U,	// VFMADDPDr213m
3390    2182107511U,	// VFMADDPDr213mY
3391    2182107511U,	// VFMADDPDr213r
3392    2182107511U,	// VFMADDPDr213rY
3393    2182107295U,	// VFMADDPDr231m
3394    2182107295U,	// VFMADDPDr231mY
3395    2182107295U,	// VFMADDPDr231r
3396    2182107295U,	// VFMADDPDr231rY
3397    2484100754U,	// VFMADDPS4mr
3398    2484100754U,	// VFMADDPS4mrY
3399    2484100754U,	// VFMADDPS4rm
3400    2484100754U,	// VFMADDPS4rmY
3401    2484100754U,	// VFMADDPS4rr
3402    2484100754U,	// VFMADDPS4rrY
3403    2484100754U,	// VFMADDPS4rrY_REV
3404    2484100754U,	// VFMADDPS4rr_REV
3405    2182110605U,	// VFMADDPSr132m
3406    2182110605U,	// VFMADDPSr132mY
3407    2182110605U,	// VFMADDPSr132r
3408    2182110605U,	// VFMADDPSr132rY
3409    2182110746U,	// VFMADDPSr213m
3410    2182110746U,	// VFMADDPSr213mY
3411    2182110746U,	// VFMADDPSr213r
3412    2182110746U,	// VFMADDPSr213rY
3413    2182110519U,	// VFMADDPSr231m
3414    2182110519U,	// VFMADDPSr231mY
3415    2182110519U,	// VFMADDPSr231r
3416    2182110519U,	// VFMADDPSr231rY
3417    2484098115U,	// VFMADDSD4mr
3418    2484098115U,	// VFMADDSD4mr_Int
3419    2484098115U,	// VFMADDSD4rm
3420    2484098115U,	// VFMADDSD4rm_Int
3421    2484098115U,	// VFMADDSD4rr
3422    2484098115U,	// VFMADDSD4rr_Int
3423    2484098115U,	// VFMADDSD4rr_REV
3424    2182104069U,	// VFMADDSDZm
3425    2182104069U,	// VFMADDSDZr
3426    2182108075U,	// VFMADDSDr132m
3427    2182108075U,	// VFMADDSDr132r
3428    2182108163U,	// VFMADDSDr213m
3429    2182108163U,	// VFMADDSDr213r
3430    2182108021U,	// VFMADDSDr231m
3431    2182108021U,	// VFMADDSDr231r
3432    2484101341U,	// VFMADDSS4mr
3433    2484101341U,	// VFMADDSS4mr_Int
3434    2484101341U,	// VFMADDSS4rm
3435    2484101341U,	// VFMADDSS4rm_Int
3436    2484101341U,	// VFMADDSS4rr
3437    2484101341U,	// VFMADDSS4rr_Int
3438    2484101341U,	// VFMADDSS4rr_REV
3439    2182105672U,	// VFMADDSSZm
3440    2182105672U,	// VFMADDSSZr
3441    2182111309U,	// VFMADDSSr132m
3442    2182111309U,	// VFMADDSSr132r
3443    2182111397U,	// VFMADDSSr213m
3444    2182111397U,	// VFMADDSSr213r
3445    2182111255U,	// VFMADDSSr231m
3446    2182111255U,	// VFMADDSSr231r
3447    2182103446U,	// VFMADDSUB132PDZm
3448    2182103446U,	// VFMADDSUB132PDZmb
3449    2182105150U,	// VFMADDSUB132PSZm
3450    2182105150U,	// VFMADDSUB132PSZmb
3451    2182103599U,	// VFMADDSUB213PDZm
3452    2182103599U,	// VFMADDSUB213PDZmb
3453    2182103599U,	// VFMADDSUB213PDZr
3454    50348591U,	// VFMADDSUB213PDZrk
3455    2197832239U,	// VFMADDSUB213PDZrkz
3456    2182105303U,	// VFMADDSUB213PSZm
3457    2182105303U,	// VFMADDSUB213PSZmb
3458    2182105303U,	// VFMADDSUB213PSZr
3459    50350295U,	// VFMADDSUB213PSZrk
3460    2197833943U,	// VFMADDSUB213PSZrkz
3461    2484097443U,	// VFMADDSUBPD4mr
3462    2484097443U,	// VFMADDSUBPD4mrY
3463    2484097443U,	// VFMADDSUBPD4rm
3464    2484097443U,	// VFMADDSUBPD4rmY
3465    2484097443U,	// VFMADDSUBPD4rr
3466    2484097443U,	// VFMADDSUBPD4rrY
3467    2484097443U,	// VFMADDSUBPD4rrY_REV
3468    2484097443U,	// VFMADDSUBPD4rr_REV
3469    2182107322U,	// VFMADDSUBPDr132m
3470    2182107322U,	// VFMADDSUBPDr132mY
3471    2182107322U,	// VFMADDSUBPDr132r
3472    2182107322U,	// VFMADDSUBPDr132rY
3473    2182107452U,	// VFMADDSUBPDr213m
3474    2182107452U,	// VFMADDSUBPDr213mY
3475    2182107452U,	// VFMADDSUBPDr213r
3476    2182107452U,	// VFMADDSUBPDr213rY
3477    2182107236U,	// VFMADDSUBPDr231m
3478    2182107236U,	// VFMADDSUBPDr231mY
3479    2182107236U,	// VFMADDSUBPDr231r
3480    2182107236U,	// VFMADDSUBPDr231rY
3481    2484100670U,	// VFMADDSUBPS4mr
3482    2484100670U,	// VFMADDSUBPS4mrY
3483    2484100670U,	// VFMADDSUBPS4rm
3484    2484100670U,	// VFMADDSUBPS4rmY
3485    2484100670U,	// VFMADDSUBPS4rr
3486    2484100670U,	// VFMADDSUBPS4rrY
3487    2484100670U,	// VFMADDSUBPS4rrY_REV
3488    2484100670U,	// VFMADDSUBPS4rr_REV
3489    2182110546U,	// VFMADDSUBPSr132m
3490    2182110546U,	// VFMADDSUBPSr132mY
3491    2182110546U,	// VFMADDSUBPSr132r
3492    2182110546U,	// VFMADDSUBPSr132rY
3493    2182110687U,	// VFMADDSUBPSr213m
3494    2182110687U,	// VFMADDSUBPSr213mY
3495    2182110687U,	// VFMADDSUBPSr213r
3496    2182110687U,	// VFMADDSUBPSr213rY
3497    2182110460U,	// VFMADDSUBPSr231m
3498    2182110460U,	// VFMADDSUBPSr231mY
3499    2182110460U,	// VFMADDSUBPSr231r
3500    2182110460U,	// VFMADDSUBPSr231rY
3501    2182103463U,	// VFMSUB132PDZm
3502    2182103463U,	// VFMSUB132PDZmb
3503    2182105167U,	// VFMSUB132PSZm
3504    2182105167U,	// VFMSUB132PSZmb
3505    2182103616U,	// VFMSUB213PDZm
3506    2182103616U,	// VFMSUB213PDZmb
3507    2182103616U,	// VFMSUB213PDZr
3508    50348608U,	// VFMSUB213PDZrk
3509    2197832256U,	// VFMSUB213PDZrkz
3510    2182105320U,	// VFMSUB213PSZm
3511    2182105320U,	// VFMSUB213PSZmb
3512    2182105320U,	// VFMSUB213PSZr
3513    50350312U,	// VFMSUB213PSZrk
3514    2197833960U,	// VFMSUB213PSZrkz
3515    2182103492U,	// VFMSUBADD132PDZm
3516    2182103492U,	// VFMSUBADD132PDZmb
3517    2182105196U,	// VFMSUBADD132PSZm
3518    2182105196U,	// VFMSUBADD132PSZmb
3519    2182103645U,	// VFMSUBADD213PDZm
3520    2182103645U,	// VFMSUBADD213PDZmb
3521    2182103645U,	// VFMSUBADD213PDZr
3522    50348637U,	// VFMSUBADD213PDZrk
3523    2197832285U,	// VFMSUBADD213PDZrkz
3524    2182105349U,	// VFMSUBADD213PSZm
3525    2182105349U,	// VFMSUBADD213PSZmb
3526    2182105349U,	// VFMSUBADD213PSZr
3527    50350341U,	// VFMSUBADD213PSZrk
3528    2197833989U,	// VFMSUBADD213PSZrkz
3529    2484097505U,	// VFMSUBADDPD4mr
3530    2484097505U,	// VFMSUBADDPD4mrY
3531    2484097505U,	// VFMSUBADDPD4rm
3532    2484097505U,	// VFMSUBADDPD4rmY
3533    2484097505U,	// VFMSUBADDPD4rr
3534    2484097505U,	// VFMSUBADDPD4rrY
3535    2484097505U,	// VFMSUBADDPD4rrY_REV
3536    2484097505U,	// VFMSUBADDPD4rr_REV
3537    2182107365U,	// VFMSUBADDPDr132m
3538    2182107365U,	// VFMSUBADDPDr132mY
3539    2182107365U,	// VFMSUBADDPDr132r
3540    2182107365U,	// VFMSUBADDPDr132rY
3541    2182107495U,	// VFMSUBADDPDr213m
3542    2182107495U,	// VFMSUBADDPDr213mY
3543    2182107495U,	// VFMSUBADDPDr213r
3544    2182107495U,	// VFMSUBADDPDr213rY
3545    2182107279U,	// VFMSUBADDPDr231m
3546    2182107279U,	// VFMSUBADDPDr231mY
3547    2182107279U,	// VFMSUBADDPDr231r
3548    2182107279U,	// VFMSUBADDPDr231rY
3549    2484100732U,	// VFMSUBADDPS4mr
3550    2484100732U,	// VFMSUBADDPS4mrY
3551    2484100732U,	// VFMSUBADDPS4rm
3552    2484100732U,	// VFMSUBADDPS4rmY
3553    2484100732U,	// VFMSUBADDPS4rr
3554    2484100732U,	// VFMSUBADDPS4rrY
3555    2484100732U,	// VFMSUBADDPS4rrY_REV
3556    2484100732U,	// VFMSUBADDPS4rr_REV
3557    2182110589U,	// VFMSUBADDPSr132m
3558    2182110589U,	// VFMSUBADDPSr132mY
3559    2182110589U,	// VFMSUBADDPSr132r
3560    2182110589U,	// VFMSUBADDPSr132rY
3561    2182110730U,	// VFMSUBADDPSr213m
3562    2182110730U,	// VFMSUBADDPSr213mY
3563    2182110730U,	// VFMSUBADDPSr213r
3564    2182110730U,	// VFMSUBADDPSr213rY
3565    2182110503U,	// VFMSUBADDPSr231m
3566    2182110503U,	// VFMSUBADDPSr231mY
3567    2182110503U,	// VFMSUBADDPSr231r
3568    2182110503U,	// VFMSUBADDPSr231rY
3569    2484097476U,	// VFMSUBPD4mr
3570    2484097476U,	// VFMSUBPD4mrY
3571    2484097476U,	// VFMSUBPD4rm
3572    2484097476U,	// VFMSUBPD4rmY
3573    2484097476U,	// VFMSUBPD4rr
3574    2484097476U,	// VFMSUBPD4rrY
3575    2484097476U,	// VFMSUBPD4rrY_REV
3576    2484097476U,	// VFMSUBPD4rr_REV
3577    2182107338U,	// VFMSUBPDr132m
3578    2182107338U,	// VFMSUBPDr132mY
3579    2182107338U,	// VFMSUBPDr132r
3580    2182107338U,	// VFMSUBPDr132rY
3581    2182107468U,	// VFMSUBPDr213m
3582    2182107468U,	// VFMSUBPDr213mY
3583    2182107468U,	// VFMSUBPDr213r
3584    2182107468U,	// VFMSUBPDr213rY
3585    2182107252U,	// VFMSUBPDr231m
3586    2182107252U,	// VFMSUBPDr231mY
3587    2182107252U,	// VFMSUBPDr231r
3588    2182107252U,	// VFMSUBPDr231rY
3589    2484100703U,	// VFMSUBPS4mr
3590    2484100703U,	// VFMSUBPS4mrY
3591    2484100703U,	// VFMSUBPS4rm
3592    2484100703U,	// VFMSUBPS4rmY
3593    2484100703U,	// VFMSUBPS4rr
3594    2484100703U,	// VFMSUBPS4rrY
3595    2484100703U,	// VFMSUBPS4rrY_REV
3596    2484100703U,	// VFMSUBPS4rr_REV
3597    2182110562U,	// VFMSUBPSr132m
3598    2182110562U,	// VFMSUBPSr132mY
3599    2182110562U,	// VFMSUBPSr132r
3600    2182110562U,	// VFMSUBPSr132rY
3601    2182110703U,	// VFMSUBPSr213m
3602    2182110703U,	// VFMSUBPSr213mY
3603    2182110703U,	// VFMSUBPSr213r
3604    2182110703U,	// VFMSUBPSr213rY
3605    2182110476U,	// VFMSUBPSr231m
3606    2182110476U,	// VFMSUBPSr231mY
3607    2182110476U,	// VFMSUBPSr231r
3608    2182110476U,	// VFMSUBPSr231rY
3609    2484098086U,	// VFMSUBSD4mr
3610    2484098086U,	// VFMSUBSD4mr_Int
3611    2484098086U,	// VFMSUBSD4rm
3612    2484098086U,	// VFMSUBSD4rm_Int
3613    2484098086U,	// VFMSUBSD4rr
3614    2484098086U,	// VFMSUBSD4rr_Int
3615    2484098086U,	// VFMSUBSD4rr_REV
3616    2182104040U,	// VFMSUBSDZm
3617    2182104040U,	// VFMSUBSDZr
3618    2182108048U,	// VFMSUBSDr132m
3619    2182108048U,	// VFMSUBSDr132r
3620    2182108136U,	// VFMSUBSDr213m
3621    2182108136U,	// VFMSUBSDr213r
3622    2182107994U,	// VFMSUBSDr231m
3623    2182107994U,	// VFMSUBSDr231r
3624    2484101312U,	// VFMSUBSS4mr
3625    2484101312U,	// VFMSUBSS4mr_Int
3626    2484101312U,	// VFMSUBSS4rm
3627    2484101312U,	// VFMSUBSS4rm_Int
3628    2484101312U,	// VFMSUBSS4rr
3629    2484101312U,	// VFMSUBSS4rr_Int
3630    2484101312U,	// VFMSUBSS4rr_REV
3631    2182105643U,	// VFMSUBSSZm
3632    2182105643U,	// VFMSUBSSZr
3633    2182111282U,	// VFMSUBSSr132m
3634    2182111282U,	// VFMSUBSSr132r
3635    2182111370U,	// VFMSUBSSr213m
3636    2182111370U,	// VFMSUBSSr213r
3637    2182111228U,	// VFMSUBSSr231m
3638    2182111228U,	// VFMSUBSSr231r
3639    2182103523U,	// VFNMADD132PDZm
3640    2182103523U,	// VFNMADD132PDZmb
3641    2182105227U,	// VFNMADD132PSZm
3642    2182105227U,	// VFNMADD132PSZmb
3643    2182103676U,	// VFNMADD213PDZm
3644    2182103676U,	// VFNMADD213PDZmb
3645    2182103676U,	// VFNMADD213PDZr
3646    50348668U,	// VFNMADD213PDZrk
3647    2197832316U,	// VFNMADD213PDZrkz
3648    2182105380U,	// VFNMADD213PSZm
3649    2182105380U,	// VFNMADD213PSZmb
3650    2182105380U,	// VFNMADD213PSZr
3651    50350372U,	// VFNMADD213PSZrk
3652    2197834020U,	// VFNMADD213PSZrkz
3653    2484097537U,	// VFNMADDPD4mr
3654    2484097537U,	// VFNMADDPD4mrY
3655    2484097537U,	// VFNMADDPD4rm
3656    2484097537U,	// VFNMADDPD4rmY
3657    2484097537U,	// VFNMADDPD4rr
3658    2484097537U,	// VFNMADDPD4rrY
3659    2484097537U,	// VFNMADDPD4rrY_REV
3660    2484097537U,	// VFNMADDPD4rr_REV
3661    2182107394U,	// VFNMADDPDr132m
3662    2182107394U,	// VFNMADDPDr132mY
3663    2182107394U,	// VFNMADDPDr132r
3664    2182107394U,	// VFNMADDPDr132rY
3665    2182107524U,	// VFNMADDPDr213m
3666    2182107524U,	// VFNMADDPDr213mY
3667    2182107524U,	// VFNMADDPDr213r
3668    2182107524U,	// VFNMADDPDr213rY
3669    2182107308U,	// VFNMADDPDr231m
3670    2182107308U,	// VFNMADDPDr231mY
3671    2182107308U,	// VFNMADDPDr231r
3672    2182107308U,	// VFNMADDPDr231rY
3673    2484100764U,	// VFNMADDPS4mr
3674    2484100764U,	// VFNMADDPS4mrY
3675    2484100764U,	// VFNMADDPS4rm
3676    2484100764U,	// VFNMADDPS4rmY
3677    2484100764U,	// VFNMADDPS4rr
3678    2484100764U,	// VFNMADDPS4rrY
3679    2484100764U,	// VFNMADDPS4rrY_REV
3680    2484100764U,	// VFNMADDPS4rr_REV
3681    2182110618U,	// VFNMADDPSr132m
3682    2182110618U,	// VFNMADDPSr132mY
3683    2182110618U,	// VFNMADDPSr132r
3684    2182110618U,	// VFNMADDPSr132rY
3685    2182110759U,	// VFNMADDPSr213m
3686    2182110759U,	// VFNMADDPSr213mY
3687    2182110759U,	// VFNMADDPSr213r
3688    2182110759U,	// VFNMADDPSr213rY
3689    2182110532U,	// VFNMADDPSr231m
3690    2182110532U,	// VFNMADDPSr231mY
3691    2182110532U,	// VFNMADDPSr231r
3692    2182110532U,	// VFNMADDPSr231rY
3693    2484098125U,	// VFNMADDSD4mr
3694    2484098125U,	// VFNMADDSD4mr_Int
3695    2484098125U,	// VFNMADDSD4rm
3696    2484098125U,	// VFNMADDSD4rm_Int
3697    2484098125U,	// VFNMADDSD4rr
3698    2484098125U,	// VFNMADDSD4rr_Int
3699    2484098125U,	// VFNMADDSD4rr_REV
3700    2182104083U,	// VFNMADDSDZm
3701    2182104083U,	// VFNMADDSDZr
3702    2182108088U,	// VFNMADDSDr132m
3703    2182108088U,	// VFNMADDSDr132r
3704    2182108176U,	// VFNMADDSDr213m
3705    2182108176U,	// VFNMADDSDr213r
3706    2182108034U,	// VFNMADDSDr231m
3707    2182108034U,	// VFNMADDSDr231r
3708    2484101351U,	// VFNMADDSS4mr
3709    2484101351U,	// VFNMADDSS4mr_Int
3710    2484101351U,	// VFNMADDSS4rm
3711    2484101351U,	// VFNMADDSS4rm_Int
3712    2484101351U,	// VFNMADDSS4rr
3713    2484101351U,	// VFNMADDSS4rr_Int
3714    2484101351U,	// VFNMADDSS4rr_REV
3715    2182105686U,	// VFNMADDSSZm
3716    2182105686U,	// VFNMADDSSZr
3717    2182111322U,	// VFNMADDSSr132m
3718    2182111322U,	// VFNMADDSSr132r
3719    2182111410U,	// VFNMADDSSr213m
3720    2182111410U,	// VFNMADDSSr213r
3721    2182111268U,	// VFNMADDSSr231m
3722    2182111268U,	// VFNMADDSSr231r
3723    2182103477U,	// VFNMSUB132PDZm
3724    2182103477U,	// VFNMSUB132PDZmb
3725    2182105181U,	// VFNMSUB132PSZm
3726    2182105181U,	// VFNMSUB132PSZmb
3727    2182103630U,	// VFNMSUB213PDZm
3728    2182103630U,	// VFNMSUB213PDZmb
3729    2182103630U,	// VFNMSUB213PDZr
3730    50348622U,	// VFNMSUB213PDZrk
3731    2197832270U,	// VFNMSUB213PDZrkz
3732    2182105334U,	// VFNMSUB213PSZm
3733    2182105334U,	// VFNMSUB213PSZmb
3734    2182105334U,	// VFNMSUB213PSZr
3735    50350326U,	// VFNMSUB213PSZrk
3736    2197833974U,	// VFNMSUB213PSZrkz
3737    2484097486U,	// VFNMSUBPD4mr
3738    2484097486U,	// VFNMSUBPD4mrY
3739    2484097486U,	// VFNMSUBPD4rm
3740    2484097486U,	// VFNMSUBPD4rmY
3741    2484097486U,	// VFNMSUBPD4rr
3742    2484097486U,	// VFNMSUBPD4rrY
3743    2484097486U,	// VFNMSUBPD4rrY_REV
3744    2484097486U,	// VFNMSUBPD4rr_REV
3745    2182107351U,	// VFNMSUBPDr132m
3746    2182107351U,	// VFNMSUBPDr132mY
3747    2182107351U,	// VFNMSUBPDr132r
3748    2182107351U,	// VFNMSUBPDr132rY
3749    2182107481U,	// VFNMSUBPDr213m
3750    2182107481U,	// VFNMSUBPDr213mY
3751    2182107481U,	// VFNMSUBPDr213r
3752    2182107481U,	// VFNMSUBPDr213rY
3753    2182107265U,	// VFNMSUBPDr231m
3754    2182107265U,	// VFNMSUBPDr231mY
3755    2182107265U,	// VFNMSUBPDr231r
3756    2182107265U,	// VFNMSUBPDr231rY
3757    2484100713U,	// VFNMSUBPS4mr
3758    2484100713U,	// VFNMSUBPS4mrY
3759    2484100713U,	// VFNMSUBPS4rm
3760    2484100713U,	// VFNMSUBPS4rmY
3761    2484100713U,	// VFNMSUBPS4rr
3762    2484100713U,	// VFNMSUBPS4rrY
3763    2484100713U,	// VFNMSUBPS4rrY_REV
3764    2484100713U,	// VFNMSUBPS4rr_REV
3765    2182110575U,	// VFNMSUBPSr132m
3766    2182110575U,	// VFNMSUBPSr132mY
3767    2182110575U,	// VFNMSUBPSr132r
3768    2182110575U,	// VFNMSUBPSr132rY
3769    2182110716U,	// VFNMSUBPSr213m
3770    2182110716U,	// VFNMSUBPSr213mY
3771    2182110716U,	// VFNMSUBPSr213r
3772    2182110716U,	// VFNMSUBPSr213rY
3773    2182110489U,	// VFNMSUBPSr231m
3774    2182110489U,	// VFNMSUBPSr231mY
3775    2182110489U,	// VFNMSUBPSr231r
3776    2182110489U,	// VFNMSUBPSr231rY
3777    2484098096U,	// VFNMSUBSD4mr
3778    2484098096U,	// VFNMSUBSD4mr_Int
3779    2484098096U,	// VFNMSUBSD4rm
3780    2484098096U,	// VFNMSUBSD4rm_Int
3781    2484098096U,	// VFNMSUBSD4rr
3782    2484098096U,	// VFNMSUBSD4rr_Int
3783    2484098096U,	// VFNMSUBSD4rr_REV
3784    2182104054U,	// VFNMSUBSDZm
3785    2182104054U,	// VFNMSUBSDZr
3786    2182108061U,	// VFNMSUBSDr132m
3787    2182108061U,	// VFNMSUBSDr132r
3788    2182108149U,	// VFNMSUBSDr213m
3789    2182108149U,	// VFNMSUBSDr213r
3790    2182108007U,	// VFNMSUBSDr231m
3791    2182108007U,	// VFNMSUBSDr231r
3792    2484101322U,	// VFNMSUBSS4mr
3793    2484101322U,	// VFNMSUBSS4mr_Int
3794    2484101322U,	// VFNMSUBSS4rm
3795    2484101322U,	// VFNMSUBSS4rm_Int
3796    2484101322U,	// VFNMSUBSS4rr
3797    2484101322U,	// VFNMSUBSS4rr_Int
3798    2484101322U,	// VFNMSUBSS4rr_REV
3799    2182105657U,	// VFNMSUBSSZm
3800    2182105657U,	// VFNMSUBSSZr
3801    2182111295U,	// VFNMSUBSSr132m
3802    2182111295U,	// VFNMSUBSSr132r
3803    2182111383U,	// VFNMSUBSSr213m
3804    2182111383U,	// VFNMSUBSSr213r
3805    2182111241U,	// VFNMSUBSSr231m
3806    2182111241U,	// VFNMSUBSSr231r
3807    537940771U,	// VFRCZPDrm
3808    940593955U,	// VFRCZPDrmY
3809    336614179U,	// VFRCZPDrr
3810    336614179U,	// VFRCZPDrrY
3811    537944051U,	// VFRCZPSrm
3812    940597235U,	// VFRCZPSrmY
3813    336617459U,	// VFRCZPSrr
3814    336617459U,	// VFRCZPSrrY
3815    571495654U,	// VFRCZSDrm
3816    336614630U,	// VFRCZSDrr
3817    605053305U,	// VFRCZSSrm
3818    336617849U,	// VFRCZSSrr
3819    2484097692U,	// VFsANDNPDrm
3820    2484097692U,	// VFsANDNPDrr
3821    2484100931U,	// VFsANDNPSrm
3822    2484100931U,	// VFsANDNPSrr
3823    2484097556U,	// VFsANDPDrm
3824    2484097556U,	// VFsANDPDrr
3825    2484100783U,	// VFsANDPSrm
3826    2484100783U,	// VFsANDPSrr
3827    2484097736U,	// VFsORPDrm
3828    2484097736U,	// VFsORPDrr
3829    2484100983U,	// VFsORPSrm
3830    2484100983U,	// VFsORPSrr
3831    2484097743U,	// VFsXORPDrm
3832    2484097743U,	// VFsXORPDrr
3833    2484100990U,	// VFsXORPSrm
3834    2484100990U,	// VFsXORPSrr
3835    1007702576U,	// VGATHERDPDYrm
3836    3204465357U,	// VGATHERDPDZrm
3837    1007702576U,	// VGATHERDPDrm
3838    1074814667U,	// VGATHERDPSYrm
3839    1056983413U,	// VGATHERDPSZrm
3840    1074814667U,	// VGATHERDPSrm
3841    321941635U,	// VGATHERPF0DPDm
3842    321941775U,	// VGATHERPF0DPSm
3843    389050569U,	// VGATHERPF0QPDm
3844    389050709U,	// VGATHERPF0QPSm
3845    321941670U,	// VGATHERPF1DPDm
3846    321941810U,	// VGATHERPF1DPSm
3847    389050604U,	// VGATHERPF1QPDm
3848    389050744U,	// VGATHERPF1QPSm
3849    1007702716U,	// VGATHERQPDYrm
3850    3204465469U,	// VGATHERQPDZrm
3851    1007702716U,	// VGATHERQPDrm
3852    1074814827U,	// VGATHERQPSYrm
3853    3204467173U,	// VGATHERQPSZrm
3854    1074814827U,	// VGATHERQPSrm
3855    2484097518U,	// VHADDPDYrm
3856    2484097518U,	// VHADDPDYrr
3857    2484097518U,	// VHADDPDrm
3858    2484097518U,	// VHADDPDrr
3859    2484100745U,	// VHADDPSYrm
3860    2484100745U,	// VHADDPSYrr
3861    2484100745U,	// VHADDPSrm
3862    2484100745U,	// VHADDPSrr
3863    2484097467U,	// VHSUBPDYrm
3864    2484097467U,	// VHSUBPDYrr
3865    2484097467U,	// VHSUBPDrm
3866    2484097467U,	// VHSUBPDrr
3867    2484100694U,	// VHSUBPSYrm
3868    2484100694U,	// VHSUBPSYrr
3869    2484100694U,	// VHSUBPSrm
3870    2484100694U,	// VHSUBPSrr
3871    2484096213U,	// VINSERTF128rm
3872    2484096213U,	// VINSERTF128rr
3873    2484096075U,	// VINSERTF32x4rm
3874    2484096075U,	// VINSERTF32x4rr
3875    2484096133U,	// VINSERTF64x4rm
3876    2484096133U,	// VINSERTF64x4rr
3877    2484096268U,	// VINSERTI128rm
3878    2484096268U,	// VINSERTI128rr
3879    2484096104U,	// VINSERTI32x4rm
3880    2484096104U,	// VINSERTI32x4rr
3881    2484096162U,	// VINSERTI64x4rm
3882    2484096162U,	// VINSERTI64x4rr
3883    2484101020U,	// VINSERTPSrm
3884    2484101020U,	// VINSERTPSrr
3885    2484101020U,	// VINSERTPSzrm
3886    2484101020U,	// VINSERTPSzrr
3887    839934560U,	// VLDDQUYrm
3888    437281376U,	// VLDDQUrm
3889    72835U,	// VLDMXCSR
3890    336618088U,	// VMASKMOVDQU
3891    336618088U,	// VMASKMOVDQU64
3892    2149159695U,	// VMASKMOVPDYmr
3893    2484097807U,	// VMASKMOVPDYrm
3894    2149126927U,	// VMASKMOVPDmr
3895    2484097807U,	// VMASKMOVPDrm
3896    2149162975U,	// VMASKMOVPSYmr
3897    2484101087U,	// VMASKMOVPSYrm
3898    2149130207U,	// VMASKMOVPSmr
3899    2484101087U,	// VMASKMOVPSrm
3900    2484097819U,	// VMAXCPDYrm
3901    2484097819U,	// VMAXCPDYrr
3902    2484097819U,	// VMAXCPDrm
3903    2484097819U,	// VMAXCPDrr
3904    2484101099U,	// VMAXCPSYrm
3905    2484101099U,	// VMAXCPSYrr
3906    2484101099U,	// VMAXCPSrm
3907    2484101099U,	// VMAXCPSrr
3908    2484098270U,	// VMAXCSDrm
3909    2484098270U,	// VMAXCSDrr
3910    2484101489U,	// VMAXCSSrm
3911    2484101489U,	// VMAXCSSrr
3912    2484097819U,	// VMAXPDYrm
3913    2484097819U,	// VMAXPDYrr
3914    2484093793U,	// VMAXPDZrm
3915    2484093793U,	// VMAXPDZrmb
3916    352338785U,	// VMAXPDZrmbk
3917    2499822433U,	// VMAXPDZrmbkz
3918    352342811U,	// VMAXPDZrmk
3919    2499826459U,	// VMAXPDZrmkz
3920    2484093793U,	// VMAXPDZrr
3921    352338785U,	// VMAXPDZrrk
3922    2499822433U,	// VMAXPDZrrkz
3923    2484097819U,	// VMAXPDrm
3924    2484097819U,	// VMAXPDrr
3925    2484101099U,	// VMAXPSYrm
3926    2484101099U,	// VMAXPSYrr
3927    2484095497U,	// VMAXPSZrm
3928    2484095497U,	// VMAXPSZrmb
3929    352340489U,	// VMAXPSZrmbk
3930    2499824137U,	// VMAXPSZrmbkz
3931    352346091U,	// VMAXPSZrmk
3932    2499829739U,	// VMAXPSZrmkz
3933    2484095497U,	// VMAXPSZrr
3934    352340489U,	// VMAXPSZrrk
3935    2499824137U,	// VMAXPSZrrkz
3936    2484101099U,	// VMAXPSrm
3937    2484101099U,	// VMAXPSrr
3938    2484098270U,	// VMAXSDZrm
3939    2484098270U,	// VMAXSDZrr
3940    2484098270U,	// VMAXSDrm
3941    2484098270U,	// VMAXSDrm_Int
3942    2484098270U,	// VMAXSDrr
3943    2484098270U,	// VMAXSDrr_Int
3944    2484101489U,	// VMAXSSZrm
3945    2484101489U,	// VMAXSSZrr
3946    2484101489U,	// VMAXSSrm
3947    2484101489U,	// VMAXSSrm_Int
3948    2484101489U,	// VMAXSSrr
3949    2484101489U,	// VMAXSSrr_Int
3950    11646U,	// VMCALL
3951    89111U,	// VMCLEARm
3952    11314U,	// VMFUNC
3953    2484097701U,	// VMINCPDYrm
3954    2484097701U,	// VMINCPDYrr
3955    2484097701U,	// VMINCPDrm
3956    2484097701U,	// VMINCPDrr
3957    2484100940U,	// VMINCPSYrm
3958    2484100940U,	// VMINCPSYrr
3959    2484100940U,	// VMINCPSrm
3960    2484100940U,	// VMINCPSrr
3961    2484098190U,	// VMINCSDrm
3962    2484098190U,	// VMINCSDrr
3963    2484101407U,	// VMINCSSrm
3964    2484101407U,	// VMINCSSrr
3965    2484097701U,	// VMINPDYrm
3966    2484097701U,	// VMINPDYrr
3967    2484093739U,	// VMINPDZrm
3968    2484093739U,	// VMINPDZrmb
3969    352338731U,	// VMINPDZrmbk
3970    2499822379U,	// VMINPDZrmbkz
3971    352342693U,	// VMINPDZrmk
3972    2499826341U,	// VMINPDZrmkz
3973    2484093739U,	// VMINPDZrr
3974    352338731U,	// VMINPDZrrk
3975    2499822379U,	// VMINPDZrrkz
3976    2484097701U,	// VMINPDrm
3977    2484097701U,	// VMINPDrr
3978    2484100940U,	// VMINPSYrm
3979    2484100940U,	// VMINPSYrr
3980    2484095443U,	// VMINPSZrm
3981    2484095443U,	// VMINPSZrmb
3982    352340435U,	// VMINPSZrmbk
3983    2499824083U,	// VMINPSZrmbkz
3984    352345932U,	// VMINPSZrmk
3985    2499829580U,	// VMINPSZrmkz
3986    2484095443U,	// VMINPSZrr
3987    352340435U,	// VMINPSZrrk
3988    2499824083U,	// VMINPSZrrkz
3989    2484100940U,	// VMINPSrm
3990    2484100940U,	// VMINPSrr
3991    2484098190U,	// VMINSDZrm
3992    2484098190U,	// VMINSDZrr
3993    2484098190U,	// VMINSDrm
3994    2484098190U,	// VMINSDrm_Int
3995    2484098190U,	// VMINSDrr
3996    2484098190U,	// VMINSDrr_Int
3997    2484101407U,	// VMINSSZrm
3998    2484101407U,	// VMINSSZrr
3999    2484101407U,	// VMINSSrm
4000    2484101407U,	// VMINSSrm_Int
4001    2484101407U,	// VMINSSrr
4002    2484101407U,	// VMINSSrr_Int
4003    11561U,	// VMLAUNCH
4004    12255U,	// VMLOAD32
4005    12310U,	// VMLOAD64
4006    11638U,	// VMMCALL
4007    336616421U,	// VMOV64toPQIZrr
4008    336616421U,	// VMOV64toPQIrr
4009    336616421U,	// VMOV64toSDZrr
4010    370170853U,	// VMOV64toSDrm
4011    336616421U,	// VMOV64toSDrr
4012    1675666U,	// VMOVAPDYmr
4013    940593554U,	// VMOVAPDYrm
4014    336613778U,	// VMOVAPDYrr
4015    336613778U,	// VMOVAPDYrr_REV
4016    1642898U,	// VMOVAPDZ128mr
4017    17371538U,	// VMOVAPDZ128mrk
4018    537940370U,	// VMOVAPDZ128rm
4019    50352530U,	// VMOVAPDZ128rmk
4020    2499826066U,	// VMOVAPDZ128rmkz
4021    336613778U,	// VMOVAPDZ128rr
4022    336613778U,	// VMOVAPDZ128rr_alt
4023    50352530U,	// VMOVAPDZ128rrk
4024    50352530U,	// VMOVAPDZ128rrk_alt
4025    2499826066U,	// VMOVAPDZ128rrkz
4026    2499826066U,	// VMOVAPDZ128rrkz_alt
4027    1675666U,	// VMOVAPDZ256mr
4028    17404306U,	// VMOVAPDZ256mrk
4029    940593554U,	// VMOVAPDZ256rm
4030    50352530U,	// VMOVAPDZ256rmk
4031    2499826066U,	// VMOVAPDZ256rmkz
4032    336613778U,	// VMOVAPDZ256rr
4033    336613778U,	// VMOVAPDZ256rr_alt
4034    50352530U,	// VMOVAPDZ256rrk
4035    50352530U,	// VMOVAPDZ256rrk_alt
4036    2499826066U,	// VMOVAPDZ256rrkz
4037    2499826066U,	// VMOVAPDZ256rrkz_alt
4038    1708434U,	// VMOVAPDZmr
4039    17437074U,	// VMOVAPDZmrk
4040    974147986U,	// VMOVAPDZrm
4041    50352530U,	// VMOVAPDZrmk
4042    2499826066U,	// VMOVAPDZrmkz
4043    336613778U,	// VMOVAPDZrr
4044    336613778U,	// VMOVAPDZrr_alt
4045    50352530U,	// VMOVAPDZrrk
4046    50352530U,	// VMOVAPDZrrk_alt
4047    2499826066U,	// VMOVAPDZrrkz
4048    2499826066U,	// VMOVAPDZrrkz_alt
4049    1642898U,	// VMOVAPDmr
4050    537940370U,	// VMOVAPDrm
4051    336613778U,	// VMOVAPDrr
4052    336613778U,	// VMOVAPDrr_REV
4053    1678901U,	// VMOVAPSYmr
4054    940596789U,	// VMOVAPSYrm
4055    336617013U,	// VMOVAPSYrr
4056    336617013U,	// VMOVAPSYrr_REV
4057    1646133U,	// VMOVAPSZ128mr
4058    17374773U,	// VMOVAPSZ128mrk
4059    537943605U,	// VMOVAPSZ128rm
4060    50355765U,	// VMOVAPSZ128rmk
4061    2499829301U,	// VMOVAPSZ128rmkz
4062    336617013U,	// VMOVAPSZ128rr
4063    336617013U,	// VMOVAPSZ128rr_alt
4064    50355765U,	// VMOVAPSZ128rrk
4065    50355765U,	// VMOVAPSZ128rrk_alt
4066    2499829301U,	// VMOVAPSZ128rrkz
4067    2499829301U,	// VMOVAPSZ128rrkz_alt
4068    1678901U,	// VMOVAPSZ256mr
4069    17407541U,	// VMOVAPSZ256mrk
4070    940596789U,	// VMOVAPSZ256rm
4071    50355765U,	// VMOVAPSZ256rmk
4072    2499829301U,	// VMOVAPSZ256rmkz
4073    336617013U,	// VMOVAPSZ256rr
4074    336617013U,	// VMOVAPSZ256rr_alt
4075    50355765U,	// VMOVAPSZ256rrk
4076    50355765U,	// VMOVAPSZ256rrk_alt
4077    2499829301U,	// VMOVAPSZ256rrkz
4078    2499829301U,	// VMOVAPSZ256rrkz_alt
4079    1711669U,	// VMOVAPSZmr
4080    17440309U,	// VMOVAPSZmrk
4081    974151221U,	// VMOVAPSZrm
4082    50355765U,	// VMOVAPSZrmk
4083    2499829301U,	// VMOVAPSZrmkz
4084    336617013U,	// VMOVAPSZrr
4085    336617013U,	// VMOVAPSZrr_alt
4086    50355765U,	// VMOVAPSZrrk
4087    50355765U,	// VMOVAPSZrrk_alt
4088    2499829301U,	// VMOVAPSZrrkz
4089    2499829301U,	// VMOVAPSZrrkz_alt
4090    1646133U,	// VMOVAPSmr
4091    537943605U,	// VMOVAPSrm
4092    336617013U,	// VMOVAPSrr
4093    336617013U,	// VMOVAPSrr_REV
4094    940595608U,	// VMOVDDUPYrm
4095    336615832U,	// VMOVDDUPYrr
4096    974144907U,	// VMOVDDUPZrm
4097    336610699U,	// VMOVDDUPZrr
4098    571496856U,	// VMOVDDUPrm
4099    336615832U,	// VMOVDDUPrr
4100    303060314U,	// VMOVDI2PDIZrm
4101    336614746U,	// VMOVDI2PDIZrr
4102    303060314U,	// VMOVDI2PDIrm
4103    336614746U,	// VMOVDI2PDIrr
4104    303060314U,	// VMOVDI2SSZrm
4105    336614746U,	// VMOVDI2SSZrr
4106    303060314U,	// VMOVDI2SSrm
4107    336614746U,	// VMOVDI2SSrr
4108    1313700U,	// VMOVDQA32Z128mr
4109    17042340U,	// VMOVDQA32Z128mrk
4110    437275556U,	// VMOVDQA32Z128rm
4111    50351012U,	// VMOVDQA32Z128rmk
4112    2499824548U,	// VMOVDQA32Z128rmkz
4113    336612260U,	// VMOVDQA32Z128rr
4114    336612260U,	// VMOVDQA32Z128rr_alt
4115    50351012U,	// VMOVDQA32Z128rrk
4116    50351012U,	// VMOVDQA32Z128rrk_alt
4117    2499824548U,	// VMOVDQA32Z128rrkz
4118    2499824548U,	// VMOVDQA32Z128rrkz_alt
4119    1690532U,	// VMOVDQA32Z256mr
4120    17419172U,	// VMOVDQA32Z256mrk
4121    839928740U,	// VMOVDQA32Z256rm
4122    50351012U,	// VMOVDQA32Z256rmk
4123    2499824548U,	// VMOVDQA32Z256rmkz
4124    336612260U,	// VMOVDQA32Z256rr
4125    336612260U,	// VMOVDQA32Z256rr_alt
4126    50351012U,	// VMOVDQA32Z256rrk
4127    50351012U,	// VMOVDQA32Z256rrk_alt
4128    2499824548U,	// VMOVDQA32Z256rrkz
4129    2499824548U,	// VMOVDQA32Z256rrkz_alt
4130    1723300U,	// VMOVDQA32Zmr
4131    17451940U,	// VMOVDQA32Zmrk
4132    907037604U,	// VMOVDQA32Zrm
4133    50351012U,	// VMOVDQA32Zrmk
4134    2499824548U,	// VMOVDQA32Zrmkz
4135    336612260U,	// VMOVDQA32Zrr
4136    336612260U,	// VMOVDQA32Zrr_alt
4137    50351012U,	// VMOVDQA32Zrrk
4138    50351012U,	// VMOVDQA32Zrrk_alt
4139    2499824548U,	// VMOVDQA32Zrrkz
4140    2499824548U,	// VMOVDQA32Zrrkz_alt
4141    1313786U,	// VMOVDQA64Z128mr
4142    17042426U,	// VMOVDQA64Z128mrk
4143    437275642U,	// VMOVDQA64Z128rm
4144    50351098U,	// VMOVDQA64Z128rmk
4145    2499824634U,	// VMOVDQA64Z128rmkz
4146    336612346U,	// VMOVDQA64Z128rr
4147    336612346U,	// VMOVDQA64Z128rr_alt
4148    50351098U,	// VMOVDQA64Z128rrk
4149    50351098U,	// VMOVDQA64Z128rrk_alt
4150    2499824634U,	// VMOVDQA64Z128rrkz
4151    2499824634U,	// VMOVDQA64Z128rrkz_alt
4152    1690618U,	// VMOVDQA64Z256mr
4153    17419258U,	// VMOVDQA64Z256mrk
4154    839928826U,	// VMOVDQA64Z256rm
4155    50351098U,	// VMOVDQA64Z256rmk
4156    2499824634U,	// VMOVDQA64Z256rmkz
4157    336612346U,	// VMOVDQA64Z256rr
4158    336612346U,	// VMOVDQA64Z256rr_alt
4159    50351098U,	// VMOVDQA64Z256rrk
4160    50351098U,	// VMOVDQA64Z256rrk_alt
4161    2499824634U,	// VMOVDQA64Z256rrkz
4162    2499824634U,	// VMOVDQA64Z256rrkz_alt
4163    1723386U,	// VMOVDQA64Zmr
4164    17452026U,	// VMOVDQA64Zmrk
4165    907037690U,	// VMOVDQA64Zrm
4166    50351098U,	// VMOVDQA64Zrmk
4167    2499824634U,	// VMOVDQA64Zrmkz
4168    336612346U,	// VMOVDQA64Zrr
4169    336612346U,	// VMOVDQA64Zrr_alt
4170    50351098U,	// VMOVDQA64Zrrk
4171    50351098U,	// VMOVDQA64Zrrk_alt
4172    2499824634U,	// VMOVDQA64Zrrkz
4173    2499824634U,	// VMOVDQA64Zrrkz_alt
4174    1690951U,	// VMOVDQAYmr
4175    839929159U,	// VMOVDQAYrm
4176    336612679U,	// VMOVDQAYrr
4177    336612679U,	// VMOVDQAYrr_REV
4178    1314119U,	// VMOVDQAmr
4179    437275975U,	// VMOVDQArm
4180    336612679U,	// VMOVDQArr
4181    336612679U,	// VMOVDQArr_REV
4182    1313968U,	// VMOVDQU16Z128mr
4183    17042608U,	// VMOVDQU16Z128mrk
4184    437275824U,	// VMOVDQU16Z128rm
4185    50351280U,	// VMOVDQU16Z128rmk
4186    2499824816U,	// VMOVDQU16Z128rmkz
4187    336612528U,	// VMOVDQU16Z128rr
4188    336612528U,	// VMOVDQU16Z128rr_alt
4189    50351280U,	// VMOVDQU16Z128rrk
4190    50351280U,	// VMOVDQU16Z128rrk_alt
4191    2499824816U,	// VMOVDQU16Z128rrkz
4192    2499824816U,	// VMOVDQU16Z128rrkz_alt
4193    1690800U,	// VMOVDQU16Z256mr
4194    17419440U,	// VMOVDQU16Z256mrk
4195    839929008U,	// VMOVDQU16Z256rm
4196    50351280U,	// VMOVDQU16Z256rmk
4197    2499824816U,	// VMOVDQU16Z256rmkz
4198    336612528U,	// VMOVDQU16Z256rr
4199    336612528U,	// VMOVDQU16Z256rr_alt
4200    50351280U,	// VMOVDQU16Z256rrk
4201    50351280U,	// VMOVDQU16Z256rrk_alt
4202    2499824816U,	// VMOVDQU16Z256rrkz
4203    2499824816U,	// VMOVDQU16Z256rrkz_alt
4204    1723568U,	// VMOVDQU16Zmr
4205    17452208U,	// VMOVDQU16Zmrk
4206    907037872U,	// VMOVDQU16Zrm
4207    50351280U,	// VMOVDQU16Zrmk
4208    2499824816U,	// VMOVDQU16Zrmkz
4209    336612528U,	// VMOVDQU16Zrr
4210    336612528U,	// VMOVDQU16Zrr_alt
4211    50351280U,	// VMOVDQU16Zrrk
4212    50351280U,	// VMOVDQU16Zrrk_alt
4213    2499824816U,	// VMOVDQU16Zrrkz
4214    2499824816U,	// VMOVDQU16Zrrkz_alt
4215    1313718U,	// VMOVDQU32Z128mr
4216    17042358U,	// VMOVDQU32Z128mrk
4217    437275574U,	// VMOVDQU32Z128rm
4218    50351030U,	// VMOVDQU32Z128rmk
4219    2499824566U,	// VMOVDQU32Z128rmkz
4220    336612278U,	// VMOVDQU32Z128rr
4221    336612278U,	// VMOVDQU32Z128rr_alt
4222    50351030U,	// VMOVDQU32Z128rrk
4223    50351030U,	// VMOVDQU32Z128rrk_alt
4224    2499824566U,	// VMOVDQU32Z128rrkz
4225    2499824566U,	// VMOVDQU32Z128rrkz_alt
4226    1690550U,	// VMOVDQU32Z256mr
4227    17419190U,	// VMOVDQU32Z256mrk
4228    839928758U,	// VMOVDQU32Z256rm
4229    50351030U,	// VMOVDQU32Z256rmk
4230    2499824566U,	// VMOVDQU32Z256rmkz
4231    336612278U,	// VMOVDQU32Z256rr
4232    336612278U,	// VMOVDQU32Z256rr_alt
4233    50351030U,	// VMOVDQU32Z256rrk
4234    50351030U,	// VMOVDQU32Z256rrk_alt
4235    2499824566U,	// VMOVDQU32Z256rrkz
4236    2499824566U,	// VMOVDQU32Z256rrkz_alt
4237    1723318U,	// VMOVDQU32Zmr
4238    17451958U,	// VMOVDQU32Zmrk
4239    907037622U,	// VMOVDQU32Zrm
4240    50351030U,	// VMOVDQU32Zrmk
4241    2499824566U,	// VMOVDQU32Zrmkz
4242    336612278U,	// VMOVDQU32Zrr
4243    336612278U,	// VMOVDQU32Zrr_alt
4244    50351030U,	// VMOVDQU32Zrrk
4245    50351030U,	// VMOVDQU32Zrrk_alt
4246    2499824566U,	// VMOVDQU32Zrrkz
4247    2499824566U,	// VMOVDQU32Zrrkz_alt
4248    1313830U,	// VMOVDQU64Z128mr
4249    17042470U,	// VMOVDQU64Z128mrk
4250    437275686U,	// VMOVDQU64Z128rm
4251    50351142U,	// VMOVDQU64Z128rmk
4252    2499824678U,	// VMOVDQU64Z128rmkz
4253    336612390U,	// VMOVDQU64Z128rr
4254    336612390U,	// VMOVDQU64Z128rr_alt
4255    50351142U,	// VMOVDQU64Z128rrk
4256    50351142U,	// VMOVDQU64Z128rrk_alt
4257    2499824678U,	// VMOVDQU64Z128rrkz
4258    2499824678U,	// VMOVDQU64Z128rrkz_alt
4259    1690662U,	// VMOVDQU64Z256mr
4260    17419302U,	// VMOVDQU64Z256mrk
4261    839928870U,	// VMOVDQU64Z256rm
4262    50351142U,	// VMOVDQU64Z256rmk
4263    2499824678U,	// VMOVDQU64Z256rmkz
4264    336612390U,	// VMOVDQU64Z256rr
4265    336612390U,	// VMOVDQU64Z256rr_alt
4266    50351142U,	// VMOVDQU64Z256rrk
4267    50351142U,	// VMOVDQU64Z256rrk_alt
4268    2499824678U,	// VMOVDQU64Z256rrkz
4269    2499824678U,	// VMOVDQU64Z256rrkz_alt
4270    1723430U,	// VMOVDQU64Zmr
4271    17452070U,	// VMOVDQU64Zmrk
4272    907037734U,	// VMOVDQU64Zrm
4273    50351142U,	// VMOVDQU64Zrmk
4274    2499824678U,	// VMOVDQU64Zrmkz
4275    336612390U,	// VMOVDQU64Zrr
4276    336612390U,	// VMOVDQU64Zrr_alt
4277    50351142U,	// VMOVDQU64Zrrk
4278    50351142U,	// VMOVDQU64Zrrk_alt
4279    2499824678U,	// VMOVDQU64Zrrkz
4280    2499824678U,	// VMOVDQU64Zrrkz_alt
4281    1314089U,	// VMOVDQU8Z128mr
4282    17042729U,	// VMOVDQU8Z128mrk
4283    437275945U,	// VMOVDQU8Z128rm
4284    50351401U,	// VMOVDQU8Z128rmk
4285    2499824937U,	// VMOVDQU8Z128rmkz
4286    336612649U,	// VMOVDQU8Z128rr
4287    336612649U,	// VMOVDQU8Z128rr_alt
4288    50351401U,	// VMOVDQU8Z128rrk
4289    50351401U,	// VMOVDQU8Z128rrk_alt
4290    2499824937U,	// VMOVDQU8Z128rrkz
4291    2499824937U,	// VMOVDQU8Z128rrkz_alt
4292    1690921U,	// VMOVDQU8Z256mr
4293    17419561U,	// VMOVDQU8Z256mrk
4294    839929129U,	// VMOVDQU8Z256rm
4295    50351401U,	// VMOVDQU8Z256rmk
4296    2499824937U,	// VMOVDQU8Z256rmkz
4297    336612649U,	// VMOVDQU8Z256rr
4298    336612649U,	// VMOVDQU8Z256rr_alt
4299    50351401U,	// VMOVDQU8Z256rrk
4300    50351401U,	// VMOVDQU8Z256rrk_alt
4301    2499824937U,	// VMOVDQU8Z256rrkz
4302    2499824937U,	// VMOVDQU8Z256rrkz_alt
4303    1723689U,	// VMOVDQU8Zmr
4304    17452329U,	// VMOVDQU8Zmrk
4305    907037993U,	// VMOVDQU8Zrm
4306    50351401U,	// VMOVDQU8Zrmk
4307    2499824937U,	// VMOVDQU8Zrmkz
4308    336612649U,	// VMOVDQU8Zrr
4309    336612649U,	// VMOVDQU8Zrr_alt
4310    50351401U,	// VMOVDQU8Zrrk
4311    50351401U,	// VMOVDQU8Zrrk_alt
4312    2499824937U,	// VMOVDQU8Zrrkz
4313    2499824937U,	// VMOVDQU8Zrrkz_alt
4314    1696373U,	// VMOVDQUYmr
4315    839934581U,	// VMOVDQUYrm
4316    336618101U,	// VMOVDQUYrr
4317    336618101U,	// VMOVDQUYrr_REV
4318    1319541U,	// VMOVDQUmr
4319    437281397U,	// VMOVDQUrm
4320    336618101U,	// VMOVDQUrr
4321    336618101U,	// VMOVDQUrr_REV
4322    2484100873U,	// VMOVHLPSZrr
4323    2484100873U,	// VMOVHLPSrr
4324    1184336U,	// VMOVHPDmr
4325    2484097616U,	// VMOVHPDrm
4326    1187573U,	// VMOVHPSmr
4327    2484100853U,	// VMOVHPSrm
4328    2484100843U,	// VMOVLHPSZrr
4329    2484100843U,	// VMOVLHPSrr
4330    1184386U,	// VMOVLPDmr
4331    2484097666U,	// VMOVLPDrm
4332    1187633U,	// VMOVLPSmr
4333    2484100913U,	// VMOVLPSrm
4334    336613977U,	// VMOVMSKPDYrr
4335    336613977U,	// VMOVMSKPDrr
4336    336617214U,	// VMOVMSKPSYrr
4337    336617214U,	// VMOVMSKPSrr
4338    839929148U,	// VMOVNTDQAYrm
4339    437275964U,	// VMOVNTDQAZ128rm
4340    839929148U,	// VMOVNTDQAZ256rm
4341    907038012U,	// VMOVNTDQAZrm
4342    437275964U,	// VMOVNTDQArm
4343    1678034U,	// VMOVNTDQYmr
4344    1317586U,	// VMOVNTDQZ128mr
4345    1694418U,	// VMOVNTDQZ256mr
4346    1727186U,	// VMOVNTDQZmr
4347    1645266U,	// VMOVNTDQmr
4348    1675991U,	// VMOVNTPDYmr
4349    1643223U,	// VMOVNTPDZ128mr
4350    1675991U,	// VMOVNTPDZ256mr
4351    1708759U,	// VMOVNTPDZmr
4352    1643223U,	// VMOVNTPDmr
4353    1679250U,	// VMOVNTPSYmr
4354    1646482U,	// VMOVNTPSZ128mr
4355    1679250U,	// VMOVNTPSZ256mr
4356    1712018U,	// VMOVNTPSZmr
4357    1646482U,	// VMOVNTPSmr
4358    1119578U,	// VMOVPDI2DIZmr
4359    336614746U,	// VMOVPDI2DIZrr
4360    1119578U,	// VMOVPDI2DImr
4361    336614746U,	// VMOVPDI2DIrr
4362    1137637U,	// VMOVPQI2QImr
4363    336616421U,	// VMOVPQI2QIrr
4364    1137637U,	// VMOVPQIto64Zmr
4365    336616421U,	// VMOVPQIto64Zrr
4366    336616421U,	// VMOVPQIto64rr
4367    370170853U,	// VMOVQI2PQIZrm
4368    370170853U,	// VMOVQI2PQIrm
4369    1180802U,	// VMOVSDZmr
4370    571491458U,	// VMOVSDZrm
4371    2484094082U,	// VMOVSDZrr
4372    2484098253U,	// VMOVSDZrr_REV
4373    50349186U,	// VMOVSDZrrk
4374    1184973U,	// VMOVSDmr
4375    571495629U,	// VMOVSDrm
4376    2484098253U,	// VMOVSDrr
4377    2484098253U,	// VMOVSDrr_REV
4378    1137637U,	// VMOVSDto64Zmr
4379    336616421U,	// VMOVSDto64Zrr
4380    1137637U,	// VMOVSDto64mr
4381    336616421U,	// VMOVSDto64rr
4382    940595618U,	// VMOVSHDUPYrm
4383    336615842U,	// VMOVSHDUPYrr
4384    974144918U,	// VMOVSHDUPZrm
4385    336610710U,	// VMOVSHDUPZrr
4386    537942434U,	// VMOVSHDUPrm
4387    336615842U,	// VMOVSHDUPrr
4388    940595629U,	// VMOVSLDUPYrm
4389    336615853U,	// VMOVSLDUPYrr
4390    974144930U,	// VMOVSLDUPZrm
4391    336610722U,	// VMOVSLDUPZrr
4392    537942445U,	// VMOVSLDUPrm
4393    336615853U,	// VMOVSLDUPrr
4394    1119578U,	// VMOVSS2DIZmr
4395    336614746U,	// VMOVSS2DIZrr
4396    1119578U,	// VMOVSS2DImr
4397    336614746U,	// VMOVSS2DIrr
4398    1166002U,	// VMOVSSZmr
4399    605047474U,	// VMOVSSZrm
4400    2484095666U,	// VMOVSSZrr
4401    2484101481U,	// VMOVSSZrr_REV
4402    50350770U,	// VMOVSSZrrk
4403    1171817U,	// VMOVSSmr
4404    605053289U,	// VMOVSSrm
4405    2484101481U,	// VMOVSSrr
4406    2484101481U,	// VMOVSSrr_REV
4407    1676019U,	// VMOVUPDYmr
4408    940593907U,	// VMOVUPDYrm
4409    336614131U,	// VMOVUPDYrr
4410    336614131U,	// VMOVUPDYrr_REV
4411    1643251U,	// VMOVUPDZ128mr
4412    17371891U,	// VMOVUPDZ128mrk
4413    537940723U,	// VMOVUPDZ128rm
4414    50352883U,	// VMOVUPDZ128rmk
4415    2499826419U,	// VMOVUPDZ128rmkz
4416    336614131U,	// VMOVUPDZ128rr
4417    336614131U,	// VMOVUPDZ128rr_alt
4418    50352883U,	// VMOVUPDZ128rrk
4419    50352883U,	// VMOVUPDZ128rrk_alt
4420    2499826419U,	// VMOVUPDZ128rrkz
4421    2499826419U,	// VMOVUPDZ128rrkz_alt
4422    1676019U,	// VMOVUPDZ256mr
4423    17404659U,	// VMOVUPDZ256mrk
4424    940593907U,	// VMOVUPDZ256rm
4425    50352883U,	// VMOVUPDZ256rmk
4426    2499826419U,	// VMOVUPDZ256rmkz
4427    336614131U,	// VMOVUPDZ256rr
4428    336614131U,	// VMOVUPDZ256rr_alt
4429    50352883U,	// VMOVUPDZ256rrk
4430    50352883U,	// VMOVUPDZ256rrk_alt
4431    2499826419U,	// VMOVUPDZ256rrkz
4432    2499826419U,	// VMOVUPDZ256rrkz_alt
4433    1708787U,	// VMOVUPDZmr
4434    17437427U,	// VMOVUPDZmrk
4435    974148339U,	// VMOVUPDZrm
4436    50352883U,	// VMOVUPDZrmk
4437    2499826419U,	// VMOVUPDZrmkz
4438    336614131U,	// VMOVUPDZrr
4439    336614131U,	// VMOVUPDZrr_alt
4440    50352883U,	// VMOVUPDZrrk
4441    50352883U,	// VMOVUPDZrrk_alt
4442    2499826419U,	// VMOVUPDZrrkz
4443    2499826419U,	// VMOVUPDZrrkz_alt
4444    1643251U,	// VMOVUPDmr
4445    537940723U,	// VMOVUPDrm
4446    336614131U,	// VMOVUPDrr
4447    336614131U,	// VMOVUPDrr_REV
4448    1679299U,	// VMOVUPSYmr
4449    940597187U,	// VMOVUPSYrm
4450    336617411U,	// VMOVUPSYrr
4451    336617411U,	// VMOVUPSYrr_REV
4452    1646531U,	// VMOVUPSZ128mr
4453    17375171U,	// VMOVUPSZ128mrk
4454    537944003U,	// VMOVUPSZ128rm
4455    50356163U,	// VMOVUPSZ128rmk
4456    2499829699U,	// VMOVUPSZ128rmkz
4457    336617411U,	// VMOVUPSZ128rr
4458    336617411U,	// VMOVUPSZ128rr_alt
4459    50356163U,	// VMOVUPSZ128rrk
4460    50356163U,	// VMOVUPSZ128rrk_alt
4461    2499829699U,	// VMOVUPSZ128rrkz
4462    2499829699U,	// VMOVUPSZ128rrkz_alt
4463    1679299U,	// VMOVUPSZ256mr
4464    17407939U,	// VMOVUPSZ256mrk
4465    940597187U,	// VMOVUPSZ256rm
4466    50356163U,	// VMOVUPSZ256rmk
4467    2499829699U,	// VMOVUPSZ256rmkz
4468    336617411U,	// VMOVUPSZ256rr
4469    336617411U,	// VMOVUPSZ256rr_alt
4470    50356163U,	// VMOVUPSZ256rrk
4471    50356163U,	// VMOVUPSZ256rrk_alt
4472    2499829699U,	// VMOVUPSZ256rrkz
4473    2499829699U,	// VMOVUPSZ256rrkz_alt
4474    1712067U,	// VMOVUPSZmr
4475    17440707U,	// VMOVUPSZmrk
4476    974151619U,	// VMOVUPSZrm
4477    50356163U,	// VMOVUPSZrmk
4478    2499829699U,	// VMOVUPSZrmkz
4479    336617411U,	// VMOVUPSZrr
4480    336617411U,	// VMOVUPSZrr_alt
4481    50356163U,	// VMOVUPSZrrk
4482    50356163U,	// VMOVUPSZrrk_alt
4483    2499829699U,	// VMOVUPSZrrkz
4484    2499829699U,	// VMOVUPSZrrkz_alt
4485    1646531U,	// VMOVUPSmr
4486    537944003U,	// VMOVUPSrm
4487    336617411U,	// VMOVUPSrr
4488    336617411U,	// VMOVUPSrr_REV
4489    437279717U,	// VMOVZPQILo2PQIZrm
4490    336616421U,	// VMOVZPQILo2PQIZrr
4491    437279717U,	// VMOVZPQILo2PQIrm
4492    336616421U,	// VMOVZPQILo2PQIrr
4493    370170853U,	// VMOVZQI2PQIrm
4494    336616421U,	// VMOVZQI2PQIrr
4495    2484101822U,	// VMPSADBWYrmi
4496    2484101822U,	// VMPSADBWYrri
4497    2484101822U,	// VMPSADBWrmi
4498    2484101822U,	// VMPSADBWrri
4499    86060U,	// VMPTRLDm
4500    90700U,	// VMPTRSTm
4501    1117989U,	// VMREAD32rm
4502    336613157U,	// VMREAD32rr
4503    1134373U,	// VMREAD64rm
4504    336613157U,	// VMREAD64rr
4505    11440U,	// VMRESUME
4506    12277U,	// VMRUN32
4507    12332U,	// VMRUN64
4508    12266U,	// VMSAVE32
4509    12321U,	// VMSAVE64
4510    2484097658U,	// VMULPDYrm
4511    2484097658U,	// VMULPDYrr
4512    2484093708U,	// VMULPDZrm
4513    2484093708U,	// VMULPDZrmb
4514    352338700U,	// VMULPDZrmbk
4515    2499822348U,	// VMULPDZrmbkz
4516    352342650U,	// VMULPDZrmk
4517    2499826298U,	// VMULPDZrmkz
4518    2484093708U,	// VMULPDZrr
4519    352338700U,	// VMULPDZrrk
4520    2499822348U,	// VMULPDZrrkz
4521    2484097658U,	// VMULPDrm
4522    2484097658U,	// VMULPDrr
4523    2484100905U,	// VMULPSYrm
4524    2484100905U,	// VMULPSYrr
4525    2484095412U,	// VMULPSZrm
4526    2484095412U,	// VMULPSZrmb
4527    352340404U,	// VMULPSZrmbk
4528    2499824052U,	// VMULPSZrmbkz
4529    352345897U,	// VMULPSZrmk
4530    2499829545U,	// VMULPSZrmkz
4531    2484095412U,	// VMULPSZrr
4532    352340404U,	// VMULPSZrrk
4533    2499824052U,	// VMULPSZrrkz
4534    2484100905U,	// VMULPSrm
4535    2484100905U,	// VMULPSrr
4536    2484098173U,	// VMULSDZrm
4537    2484098173U,	// VMULSDZrr
4538    2484098173U,	// VMULSDrm
4539    2484098173U,	// VMULSDrm_Int
4540    2484098173U,	// VMULSDrr
4541    2484098173U,	// VMULSDrr_Int
4542    2484101399U,	// VMULSSZrm
4543    2484101399U,	// VMULSSZrr
4544    2484101399U,	// VMULSSrm
4545    2484101399U,	// VMULSSrm_Int
4546    2484101399U,	// VMULSSrr
4547    2484101399U,	// VMULSSrr_Int
4548    303060650U,	// VMWRITE32rm
4549    336615082U,	// VMWRITE32rr
4550    370169514U,	// VMWRITE64rm
4551    336615082U,	// VMWRITE64rr
4552    11528U,	// VMXOFF
4553    88263U,	// VMXON
4554    2484097736U,	// VORPDYrm
4555    2484097736U,	// VORPDYrr
4556    2484097736U,	// VORPDrm
4557    2484097736U,	// VORPDrr
4558    2484100983U,	// VORPSYrm
4559    2484100983U,	// VORPSYrr
4560    2484100983U,	// VORPSrm
4561    2484100983U,	// VORPSrr
4562    437276147U,	// VPABSBrm128
4563    839929331U,	// VPABSBrm256
4564    336612851U,	// VPABSBrr128
4565    336612851U,	// VPABSBrr256
4566    907035730U,	// VPABSDZrm
4567    2450539602U,	// VPABSDZrmb
4568    352339026U,	// VPABSDZrmbk
4569    2499822674U,	// VPABSDZrmbkz
4570    352339026U,	// VPABSDZrmk
4571    2499822674U,	// VPABSDZrmkz
4572    336610386U,	// VPABSDZrr
4573    352339026U,	// VPABSDZrrk
4574    2499822674U,	// VPABSDZrrkz
4575    437277726U,	// VPABSDrm128
4576    839930910U,	// VPABSDrm256
4577    336614430U,	// VPABSDrr128
4578    336614430U,	// VPABSDrr256
4579    907036575U,	// VPABSQZrm
4580    370165663U,	// VPABSQZrmb
4581    352339871U,	// VPABSQZrmbk
4582    2499823519U,	// VPABSQZrmbkz
4583    352339871U,	// VPABSQZrmk
4584    2499823519U,	// VPABSQZrmkz
4585    336611231U,	// VPABSQZrr
4586    352339871U,	// VPABSQZrrk
4587    2499823519U,	// VPABSQZrrkz
4588    437281800U,	// VPABSWrm128
4589    839934984U,	// VPABSWrm256
4590    336618504U,	// VPABSWrr128
4591    336618504U,	// VPABSWrr256
4592    2484101967U,	// VPACKSSDWYrm
4593    2484101967U,	// VPACKSSDWYrr
4594    2484101967U,	// VPACKSSDWrm
4595    2484101967U,	// VPACKSSDWrr
4596    2484096690U,	// VPACKSSWBYrm
4597    2484096690U,	// VPACKSSWBYrr
4598    2484096690U,	// VPACKSSWBrm
4599    2484096690U,	// VPACKSSWBrr
4600    2484101978U,	// VPACKUSDWYrm
4601    2484101978U,	// VPACKUSDWYrr
4602    2484101978U,	// VPACKUSDWrm
4603    2484101978U,	// VPACKUSDWrr
4604    2484096701U,	// VPACKUSWBYrm
4605    2484096701U,	// VPACKUSWBYrr
4606    2484096701U,	// VPACKUSWBrm
4607    2484096701U,	// VPACKUSWBrr
4608    2484096406U,	// VPADDBYrm
4609    2484096406U,	// VPADDBYrr
4610    2484096406U,	// VPADDBrm
4611    2484096406U,	// VPADDBrr
4612    2484096918U,	// VPADDDYrm
4613    2484096918U,	// VPADDDYrr
4614    2484093170U,	// VPADDDZrm
4615    2484093170U,	// VPADDDZrmb
4616    50348274U,	// VPADDDZrmbk
4617    2499821810U,	// VPADDDZrmbkz
4618    50348274U,	// VPADDDZrmk
4619    2499821810U,	// VPADDDZrmkz
4620    2484093170U,	// VPADDDZrr
4621    50348274U,	// VPADDDZrrk
4622    2499821810U,	// VPADDDZrrkz
4623    2484096918U,	// VPADDDrm
4624    2484096918U,	// VPADDDrr
4625    2484099675U,	// VPADDQYrm
4626    2484099675U,	// VPADDQYrr
4627    2484094514U,	// VPADDQZrm
4628    2484094514U,	// VPADDQZrmb
4629    50349618U,	// VPADDQZrmbk
4630    2499823154U,	// VPADDQZrmbkz
4631    50349618U,	// VPADDQZrmk
4632    2499823154U,	// VPADDQZrmkz
4633    2484094514U,	// VPADDQZrr
4634    50349618U,	// VPADDQZrrk
4635    2499823154U,	// VPADDQZrrkz
4636    2484099675U,	// VPADDQrm
4637    2484099675U,	// VPADDQrr
4638    2484096516U,	// VPADDSBYrm
4639    2484096516U,	// VPADDSBYrr
4640    2484096516U,	// VPADDSBrm
4641    2484096516U,	// VPADDSBrr
4642    2484102201U,	// VPADDSWYrm
4643    2484102201U,	// VPADDSWYrr
4644    2484102201U,	// VPADDSWrm
4645    2484102201U,	// VPADDSWrr
4646    2484096558U,	// VPADDUSBYrm
4647    2484096558U,	// VPADDUSBYrr
4648    2484096558U,	// VPADDUSBrm
4649    2484096558U,	// VPADDUSBrr
4650    2484102274U,	// VPADDUSWYrm
4651    2484102274U,	// VPADDUSWYrr
4652    2484102274U,	// VPADDUSWrm
4653    2484102274U,	// VPADDUSWrr
4654    2484101949U,	// VPADDWYrm
4655    2484101949U,	// VPADDWYrr
4656    2484101949U,	// VPADDWrm
4657    2484101949U,	// VPADDWrr
4658    2484100171U,	// VPALIGNR128rm
4659    2484100171U,	// VPALIGNR128rr
4660    2484100171U,	// VPALIGNR256rm
4661    2484100171U,	// VPALIGNR256rr
4662    2484093187U,	// VPANDDZrm
4663    2484093187U,	// VPANDDZrmb
4664    50348291U,	// VPANDDZrmbk
4665    2499821827U,	// VPANDDZrmbkz
4666    50348291U,	// VPANDDZrmk
4667    2499821827U,	// VPANDDZrmkz
4668    2484093187U,	// VPANDDZrr
4669    50348291U,	// VPANDDZrrk
4670    2499821827U,	// VPANDDZrrkz
4671    2484093314U,	// VPANDNDZrm
4672    2484093314U,	// VPANDNDZrmb
4673    50348418U,	// VPANDNDZrmbk
4674    2499821954U,	// VPANDNDZrmbkz
4675    50348418U,	// VPANDNDZrmk
4676    2499821954U,	// VPANDNDZrmkz
4677    2484093314U,	// VPANDNDZrr
4678    50348418U,	// VPANDNDZrrk
4679    2499821954U,	// VPANDNDZrrkz
4680    2484094791U,	// VPANDNQZrm
4681    2484094791U,	// VPANDNQZrmb
4682    50349895U,	// VPANDNQZrmbk
4683    2499823431U,	// VPANDNQZrmbkz
4684    50349895U,	// VPANDNQZrmk
4685    2499823431U,	// VPANDNQZrmkz
4686    2484094791U,	// VPANDNQZrr
4687    50349895U,	// VPANDNQZrrk
4688    2499823431U,	// VPANDNQZrrkz
4689    2484099248U,	// VPANDNYrm
4690    2484099248U,	// VPANDNYrr
4691    2484099248U,	// VPANDNrm
4692    2484099248U,	// VPANDNrr
4693    2484094567U,	// VPANDQZrm
4694    2484094567U,	// VPANDQZrmb
4695    50349671U,	// VPANDQZrmbk
4696    2499823207U,	// VPANDQZrmbkz
4697    50349671U,	// VPANDQZrmk
4698    2499823207U,	// VPANDQZrmkz
4699    2484094567U,	// VPANDQZrr
4700    50349671U,	// VPANDQZrrk
4701    2499823207U,	// VPANDQZrrkz
4702    2484097093U,	// VPANDYrm
4703    2484097093U,	// VPANDYrr
4704    2484097093U,	// VPANDrm
4705    2484097093U,	// VPANDrr
4706    2484096423U,	// VPAVGBYrm
4707    2484096423U,	// VPAVGBYrr
4708    2484096423U,	// VPAVGBrm
4709    2484096423U,	// VPAVGBrr
4710    2484102004U,	// VPAVGWYrm
4711    2484102004U,	// VPAVGWYrr
4712    2484102004U,	// VPAVGWrm
4713    2484102004U,	// VPAVGWrr
4714    2484096926U,	// VPBLENDDYrmi
4715    2484096926U,	// VPBLENDDYrri
4716    2484096926U,	// VPBLENDDrmi
4717    2484096926U,	// VPBLENDDrri
4718    352338253U,	// VPBLENDMDZrm
4719    352338253U,	// VPBLENDMDZrr
4720    352339730U,	// VPBLENDMQZrm
4721    352339730U,	// VPBLENDMQZrr
4722    2484096672U,	// VPBLENDVBYrm
4723    2484096672U,	// VPBLENDVBYrr
4724    2484096672U,	// VPBLENDVBrm
4725    2484096672U,	// VPBLENDVBrr
4726    2484101957U,	// VPBLENDWYrmi
4727    2484101957U,	// VPBLENDWYrri
4728    2484101957U,	// VPBLENDWrmi
4729    2484101957U,	// VPBLENDWrri
4730    504385129U,	// VPBROADCASTBYrm
4731    336612969U,	// VPBROADCASTBYrr
4732    504385129U,	// VPBROADCASTBrm
4733    336612969U,	// VPBROADCASTBrr
4734    303060225U,	// VPBROADCASTDYrm
4735    336614657U,	// VPBROADCASTDYrr
4736    2499822774U,	// VPBROADCASTDZkrm
4737    2499822774U,	// VPBROADCASTDZkrr
4738    303056054U,	// VPBROADCASTDZrm
4739    336610486U,	// VPBROADCASTDZrr
4740    2499822774U,	// VPBROADCASTDrZkrr
4741    336610486U,	// VPBROADCASTDrZrr
4742    303060225U,	// VPBROADCASTDrm
4743    336614657U,	// VPBROADCASTDrr
4744    336610734U,	// VPBROADCASTMB2Qrr
4745    336609462U,	// VPBROADCASTMW2Drr
4746    370170791U,	// VPBROADCASTQYrm
4747    336616359U,	// VPBROADCASTQYrr
4748    2499823581U,	// VPBROADCASTQZkrm
4749    2499823581U,	// VPBROADCASTQZkrr
4750    370165725U,	// VPBROADCASTQZrm
4751    336611293U,	// VPBROADCASTQZrr
4752    2499823581U,	// VPBROADCASTQrZkrr
4753    336611293U,	// VPBROADCASTQrZrr
4754    370170791U,	// VPBROADCASTQrm
4755    336616359U,	// VPBROADCASTQrr
4756    470836398U,	// VPBROADCASTWYrm
4757    336618670U,	// VPBROADCASTWYrr
4758    470836398U,	// VPBROADCASTWrm
4759    336618670U,	// VPBROADCASTWrr
4760    2484099770U,	// VPCLMULQDQrm
4761    2484099770U,	// VPCLMULQDQrr
4762    2484101788U,	// VPCMOVmr
4763    2484101788U,	// VPCMOVmrY
4764    2484101788U,	// VPCMOVrm
4765    2484101788U,	// VPCMOVrmY
4766    2484101788U,	// VPCMOVrr
4767    2484101788U,	// VPCMOVrrY
4768    1128623605U,	// VPCMPDZrmi
4769    2484097675U,	// VPCMPDZrmi_alt
4770    352342667U,	// VPCMPDZrmik_alt
4771    54898165U,	// VPCMPDZrri
4772    2484097675U,	// VPCMPDZrri_alt
4773    352342667U,	// VPCMPDZrrik_alt
4774    2484096471U,	// VPCMPEQBYrm
4775    2484096471U,	// VPCMPEQBYrr
4776    2484096471U,	// VPCMPEQBZ128rm
4777    352341463U,	// VPCMPEQBZ128rmk
4778    2484096471U,	// VPCMPEQBZ128rr
4779    352341463U,	// VPCMPEQBZ128rrk
4780    2484096471U,	// VPCMPEQBZ256rm
4781    352341463U,	// VPCMPEQBZ256rmk
4782    2484096471U,	// VPCMPEQBZ256rr
4783    352341463U,	// VPCMPEQBZ256rrk
4784    2484096471U,	// VPCMPEQBZrm
4785    352341463U,	// VPCMPEQBZrmk
4786    2484096471U,	// VPCMPEQBZrr
4787    352341463U,	// VPCMPEQBZrrk
4788    2484096471U,	// VPCMPEQBrm
4789    2484096471U,	// VPCMPEQBrr
4790    2484097836U,	// VPCMPEQDYrm
4791    2484097836U,	// VPCMPEQDYrr
4792    2484097836U,	// VPCMPEQDZ128rm
4793    2484097836U,	// VPCMPEQDZ128rmb
4794    352342828U,	// VPCMPEQDZ128rmbk
4795    352342828U,	// VPCMPEQDZ128rmk
4796    2484097836U,	// VPCMPEQDZ128rr
4797    352342828U,	// VPCMPEQDZ128rrk
4798    2484097836U,	// VPCMPEQDZ256rm
4799    2484097836U,	// VPCMPEQDZ256rmb
4800    352342828U,	// VPCMPEQDZ256rmbk
4801    352342828U,	// VPCMPEQDZ256rmk
4802    2484097836U,	// VPCMPEQDZ256rr
4803    352342828U,	// VPCMPEQDZ256rrk
4804    2484097836U,	// VPCMPEQDZrm
4805    2484097836U,	// VPCMPEQDZrmb
4806    352342828U,	// VPCMPEQDZrmbk
4807    352342828U,	// VPCMPEQDZrmk
4808    2484097836U,	// VPCMPEQDZrr
4809    352342828U,	// VPCMPEQDZrrk
4810    2484097836U,	// VPCMPEQDrm
4811    2484097836U,	// VPCMPEQDrr
4812    2484099911U,	// VPCMPEQQYrm
4813    2484099911U,	// VPCMPEQQYrr
4814    2484099911U,	// VPCMPEQQZ128rm
4815    2484099911U,	// VPCMPEQQZ128rmb
4816    352344903U,	// VPCMPEQQZ128rmbk
4817    352344903U,	// VPCMPEQQZ128rmk
4818    2484099911U,	// VPCMPEQQZ128rr
4819    352344903U,	// VPCMPEQQZ128rrk
4820    2484099911U,	// VPCMPEQQZ256rm
4821    2484099911U,	// VPCMPEQQZ256rmb
4822    352344903U,	// VPCMPEQQZ256rmbk
4823    352344903U,	// VPCMPEQQZ256rmk
4824    2484099911U,	// VPCMPEQQZ256rr
4825    352344903U,	// VPCMPEQQZ256rrk
4826    2484099911U,	// VPCMPEQQZrm
4827    2484099911U,	// VPCMPEQQZrmb
4828    352344903U,	// VPCMPEQQZrmbk
4829    352344903U,	// VPCMPEQQZrmk
4830    2484099911U,	// VPCMPEQQZrr
4831    352344903U,	// VPCMPEQQZrrk
4832    2484099911U,	// VPCMPEQQrm
4833    2484099911U,	// VPCMPEQQrr
4834    2484102109U,	// VPCMPEQWYrm
4835    2484102109U,	// VPCMPEQWYrr
4836    2484102109U,	// VPCMPEQWZ128rm
4837    352347101U,	// VPCMPEQWZ128rmk
4838    2484102109U,	// VPCMPEQWZ128rr
4839    352347101U,	// VPCMPEQWZ128rrk
4840    2484102109U,	// VPCMPEQWZ256rm
4841    352347101U,	// VPCMPEQWZ256rmk
4842    2484102109U,	// VPCMPEQWZ256rr
4843    352347101U,	// VPCMPEQWZ256rrk
4844    2484102109U,	// VPCMPEQWZrm
4845    352347101U,	// VPCMPEQWZrmk
4846    2484102109U,	// VPCMPEQWZrr
4847    352347101U,	// VPCMPEQWZrrk
4848    2484102109U,	// VPCMPEQWrm
4849    2484102109U,	// VPCMPEQWrr
4850    0U,	// VPCMPESTRIMEM
4851    0U,	// VPCMPESTRIREG
4852    2584762270U,	// VPCMPESTRIrm
4853    2484098974U,	// VPCMPESTRIrr
4854    0U,	// VPCMPESTRM128MEM
4855    0U,	// VPCMPESTRM128REG
4856    2584762520U,	// VPCMPESTRM128rm
4857    2484099224U,	// VPCMPESTRM128rr
4858    2484096599U,	// VPCMPGTBYrm
4859    2484096599U,	// VPCMPGTBYrr
4860    2484096599U,	// VPCMPGTBZ128rm
4861    352341591U,	// VPCMPGTBZ128rmk
4862    2484096599U,	// VPCMPGTBZ128rr
4863    352341591U,	// VPCMPGTBZ128rrk
4864    2484096599U,	// VPCMPGTBZ256rm
4865    352341591U,	// VPCMPGTBZ256rmk
4866    2484096599U,	// VPCMPGTBZ256rr
4867    352341591U,	// VPCMPGTBZ256rrk
4868    2484096599U,	// VPCMPGTBZrm
4869    352341591U,	// VPCMPGTBZrmk
4870    2484096599U,	// VPCMPGTBZrr
4871    352341591U,	// VPCMPGTBZrrk
4872    2484096599U,	// VPCMPGTBrm
4873    2484096599U,	// VPCMPGTBrr
4874    2484098287U,	// VPCMPGTDYrm
4875    2484098287U,	// VPCMPGTDYrr
4876    2484098287U,	// VPCMPGTDZ128rm
4877    2484098287U,	// VPCMPGTDZ128rmb
4878    352343279U,	// VPCMPGTDZ128rmbk
4879    352343279U,	// VPCMPGTDZ128rmk
4880    2484098287U,	// VPCMPGTDZ128rr
4881    352343279U,	// VPCMPGTDZ128rrk
4882    2484098287U,	// VPCMPGTDZ256rm
4883    2484098287U,	// VPCMPGTDZ256rmb
4884    352343279U,	// VPCMPGTDZ256rmbk
4885    352343279U,	// VPCMPGTDZ256rmk
4886    2484098287U,	// VPCMPGTDZ256rr
4887    352343279U,	// VPCMPGTDZ256rrk
4888    2484098287U,	// VPCMPGTDZrm
4889    2484098287U,	// VPCMPGTDZrmb
4890    352343279U,	// VPCMPGTDZrmbk
4891    352343279U,	// VPCMPGTDZrmk
4892    2484098287U,	// VPCMPGTDZrr
4893    352343279U,	// VPCMPGTDZrrk
4894    2484098287U,	// VPCMPGTDrm
4895    2484098287U,	// VPCMPGTDrr
4896    2484099972U,	// VPCMPGTQYrm
4897    2484099972U,	// VPCMPGTQYrr
4898    2484099972U,	// VPCMPGTQZ128rm
4899    2484099972U,	// VPCMPGTQZ128rmb
4900    352344964U,	// VPCMPGTQZ128rmbk
4901    352344964U,	// VPCMPGTQZ128rmk
4902    2484099972U,	// VPCMPGTQZ128rr
4903    352344964U,	// VPCMPGTQZ128rrk
4904    2484099972U,	// VPCMPGTQZ256rm
4905    2484099972U,	// VPCMPGTQZ256rmb
4906    352344964U,	// VPCMPGTQZ256rmbk
4907    352344964U,	// VPCMPGTQZ256rmk
4908    2484099972U,	// VPCMPGTQZ256rr
4909    352344964U,	// VPCMPGTQZ256rrk
4910    2484099972U,	// VPCMPGTQZrm
4911    2484099972U,	// VPCMPGTQZrmb
4912    352344964U,	// VPCMPGTQZrmbk
4913    352344964U,	// VPCMPGTQZrmk
4914    2484099972U,	// VPCMPGTQZrr
4915    352344964U,	// VPCMPGTQZrrk
4916    2484099972U,	// VPCMPGTQrm
4917    2484099972U,	// VPCMPGTQrr
4918    2484102300U,	// VPCMPGTWYrm
4919    2484102300U,	// VPCMPGTWYrr
4920    2484102300U,	// VPCMPGTWZ128rm
4921    352347292U,	// VPCMPGTWZ128rmk
4922    2484102300U,	// VPCMPGTWZ128rr
4923    352347292U,	// VPCMPGTWZ128rrk
4924    2484102300U,	// VPCMPGTWZ256rm
4925    352347292U,	// VPCMPGTWZ256rmk
4926    2484102300U,	// VPCMPGTWZ256rr
4927    352347292U,	// VPCMPGTWZ256rrk
4928    2484102300U,	// VPCMPGTWZrm
4929    352347292U,	// VPCMPGTWZrmk
4930    2484102300U,	// VPCMPGTWZrr
4931    352347292U,	// VPCMPGTWZrrk
4932    2484102300U,	// VPCMPGTWrm
4933    2484102300U,	// VPCMPGTWrr
4934    0U,	// VPCMPISTRIMEM
4935    0U,	// VPCMPISTRIREG
4936    2584762282U,	// VPCMPISTRIrm
4937    2484098986U,	// VPCMPISTRIrr
4938    0U,	// VPCMPISTRM128MEM
4939    0U,	// VPCMPISTRM128REG
4940    2584762532U,	// VPCMPISTRM128rm
4941    2484099236U,	// VPCMPISTRM128rr
4942    1129672181U,	// VPCMPQZrmi
4943    2484099903U,	// VPCMPQZrmi_alt
4944    352344895U,	// VPCMPQZrmik_alt
4945    55946741U,	// VPCMPQZrri
4946    2484099903U,	// VPCMPQZrri_alt
4947    352344895U,	// VPCMPQZrrik_alt
4948    1130720757U,	// VPCMPUDZrmi
4949    2484098337U,	// VPCMPUDZrmi_alt
4950    352343329U,	// VPCMPUDZrmik_alt
4951    56995317U,	// VPCMPUDZrri
4952    2484098337U,	// VPCMPUDZrri_alt
4953    352343329U,	// VPCMPUDZrrik_alt
4954    1131769333U,	// VPCMPUQZrmi
4955    2484100030U,	// VPCMPUQZrmi_alt
4956    352345022U,	// VPCMPUQZrmik_alt
4957    58043893U,	// VPCMPUQZrri
4958    2484100030U,	// VPCMPUQZrri_alt
4959    352345022U,	// VPCMPUQZrrik_alt
4960    2484096454U,	// VPCOMBmi
4961    2484096454U,	// VPCOMBri
4962    2484097077U,	// VPCOMDmi
4963    2484097077U,	// VPCOMDri
4964    2484099887U,	// VPCOMQmi
4965    2484099887U,	// VPCOMQri
4966    2484096631U,	// VPCOMUBmi
4967    2484096631U,	// VPCOMUBri
4968    2484098319U,	// VPCOMUDmi
4969    2484098319U,	// VPCOMUDri
4970    2484100021U,	// VPCOMUQmi
4971    2484100021U,	// VPCOMUQri
4972    2484102342U,	// VPCOMUWmi
4973    2484102342U,	// VPCOMUWri
4974    2484102092U,	// VPCOMWmi
4975    2484102092U,	// VPCOMWri
4976    907035797U,	// VPCONFLICTDrm
4977    2450539669U,	// VPCONFLICTDrmb
4978    50349205U,	// VPCONFLICTDrmbk
4979    2499822741U,	// VPCONFLICTDrmbkz
4980    50349205U,	// VPCONFLICTDrmk
4981    2499822741U,	// VPCONFLICTDrmkz
4982    336610453U,	// VPCONFLICTDrr
4983    50349205U,	// VPCONFLICTDrrk
4984    2499822741U,	// VPCONFLICTDrrkz
4985    907036604U,	// VPCONFLICTQrm
4986    370165692U,	// VPCONFLICTQrmb
4987    50350012U,	// VPCONFLICTQrmbk
4988    2499823548U,	// VPCONFLICTQrmbkz
4989    50350012U,	// VPCONFLICTQrmk
4990    2499823548U,	// VPCONFLICTQrmkz
4991    336611260U,	// VPCONFLICTQrr
4992    50350012U,	// VPCONFLICTQrrk
4993    2499823548U,	// VPCONFLICTQrrkz
4994    2484096187U,	// VPERM2F128rm
4995    2484096187U,	// VPERM2F128rr
4996    2484096242U,	// VPERM2I128rm
4997    2484096242U,	// VPERM2I128rr
4998    2484097085U,	// VPERMDYrm
4999    2484097085U,	// VPERMDYrr
5000    2484093285U,	// VPERMDZrm
5001    2484093285U,	// VPERMDZrr
5002    2182103200U,	// VPERMI2Drm
5003    50348192U,	// VPERMI2Drmk
5004    2197831840U,	// VPERMI2Drmkz
5005    2182103200U,	// VPERMI2Drr
5006    50348192U,	// VPERMI2Drrk
5007    2197831840U,	// VPERMI2Drrkz
5008    2182103538U,	// VPERMI2PDrm
5009    50348530U,	// VPERMI2PDrmk
5010    2197832178U,	// VPERMI2PDrmkz
5011    2182103538U,	// VPERMI2PDrr
5012    50348530U,	// VPERMI2PDrrk
5013    2197832178U,	// VPERMI2PDrrkz
5014    2182105254U,	// VPERMI2PSrm
5015    50350246U,	// VPERMI2PSrmk
5016    2197833894U,	// VPERMI2PSrmkz
5017    2182105254U,	// VPERMI2PSrr
5018    50350246U,	// VPERMI2PSrrk
5019    2197833894U,	// VPERMI2PSrrkz
5020    2182104512U,	// VPERMI2Qrm
5021    50349504U,	// VPERMI2Qrmk
5022    2197833152U,	// VPERMI2Qrmkz
5023    2182104512U,	// VPERMI2Qrr
5024    50349504U,	// VPERMI2Qrrk
5025    2197833152U,	// VPERMI2Qrrkz
5026    2484097306U,	// VPERMIL2PDmr
5027    2484097306U,	// VPERMIL2PDmrY
5028    2484097306U,	// VPERMIL2PDrm
5029    2484097306U,	// VPERMIL2PDrmY
5030    2484097306U,	// VPERMIL2PDrr
5031    2484097306U,	// VPERMIL2PDrrY
5032    2484100552U,	// VPERMIL2PSmr
5033    2484100552U,	// VPERMIL2PSmrY
5034    2484100552U,	// VPERMIL2PSrm
5035    2484100552U,	// VPERMIL2PSrmY
5036    2484100552U,	// VPERMIL2PSrr
5037    2484100552U,	// VPERMIL2PSrrY
5038    3088077412U,	// VPERMILPDYmi
5039    2484097636U,	// VPERMILPDYri
5040    2484097636U,	// VPERMILPDYrm
5041    2484097636U,	// VPERMILPDYrr
5042    3054519040U,	// VPERMILPDZmi
5043    2484093696U,	// VPERMILPDZri
5044    2685424228U,	// VPERMILPDmi
5045    2484097636U,	// VPERMILPDri
5046    2484097636U,	// VPERMILPDrm
5047    2484097636U,	// VPERMILPDrr
5048    3088080659U,	// VPERMILPSYmi
5049    2484100883U,	// VPERMILPSYri
5050    2484100883U,	// VPERMILPSYrm
5051    2484100883U,	// VPERMILPSYrr
5052    3054520744U,	// VPERMILPSZmi
5053    2484095400U,	// VPERMILPSZri
5054    2685427475U,	// VPERMILPSmi
5055    2484100883U,	// VPERMILPSri
5056    2484100883U,	// VPERMILPSrm
5057    2484100883U,	// VPERMILPSrr
5058    2987414163U,	// VPERMPDYmi
5059    2484097683U,	// VPERMPDYri
5060    3121627937U,	// VPERMPDZmi
5061    2484093729U,	// VPERMPDZri
5062    2484093729U,	// VPERMPDZrm
5063    2484093729U,	// VPERMPDZrr
5064    2484100922U,	// VPERMPSYrm
5065    2484100922U,	// VPERMPSYrr
5066    2484095433U,	// VPERMPSZrm
5067    2484095433U,	// VPERMPSZrr
5068    2987416375U,	// VPERMQYmi
5069    2484099895U,	// VPERMQYri
5070    3054520106U,	// VPERMQZmi
5071    2484094762U,	// VPERMQZri
5072    2484094762U,	// VPERMQZrm
5073    2484094762U,	// VPERMQZrr
5074    2182103211U,	// VPERMT2Drm
5075    50348203U,	// VPERMT2Drmk
5076    2197831851U,	// VPERMT2Drmkz
5077    2182103211U,	// VPERMT2Drr
5078    50348203U,	// VPERMT2Drrk
5079    2197831851U,	// VPERMT2Drrkz
5080    2182103587U,	// VPERMT2PDrm
5081    50348579U,	// VPERMT2PDrmk
5082    2197832227U,	// VPERMT2PDrmkz
5083    2182103587U,	// VPERMT2PDrr
5084    50348579U,	// VPERMT2PDrrk
5085    2197832227U,	// VPERMT2PDrrkz
5086    2182105291U,	// VPERMT2PSrm
5087    50350283U,	// VPERMT2PSrmk
5088    2197833931U,	// VPERMT2PSrmkz
5089    2182105291U,	// VPERMT2PSrr
5090    50350283U,	// VPERMT2PSrrk
5091    2197833931U,	// VPERMT2PSrrkz
5092    2182104523U,	// VPERMT2Qrm
5093    50349515U,	// VPERMT2Qrmk
5094    2197833163U,	// VPERMT2Qrmkz
5095    2182104523U,	// VPERMT2Qrr
5096    50349515U,	// VPERMT2Qrrk
5097    2197833163U,	// VPERMT2Qrrkz
5098    2148634090U,	// VPEXTRBmr
5099    2484096490U,	// VPEXTRBrr
5100    2148602705U,	// VPEXTRDmr
5101    2484097873U,	// VPEXTRDrr
5102    2148621158U,	// VPEXTRQmr
5103    2484099942U,	// VPEXTRQrr
5104    2148574207U,	// VPEXTRWmr
5105    2484102143U,	// VPEXTRWri
5106    2484102143U,	// VPEXTRWrr_REV
5107    1074810792U,	// VPGATHERDDYrm
5108    1056981260U,	// VPGATHERDDZrm
5109    1074810792U,	// VPGATHERDDrm
5110    1007704774U,	// VPGATHERDQYrm
5111    3204466316U,	// VPGATHERDQZrm
5112    1007704774U,	// VPGATHERDQrm
5113    1074811702U,	// VPGATHERQDYrm
5114    3204465514U,	// VPGATHERQDZrm
5115    1074811702U,	// VPGATHERQDrm
5116    1007704913U,	// VPGATHERQQYrm
5117    3204466523U,	// VPGATHERQQZrm
5118    1007704913U,	// VPGATHERQQrm
5119    437276477U,	// VPHADDBDrm
5120    336613181U,	// VPHADDBDrr
5121    437279207U,	// VPHADDBQrm
5122    336615911U,	// VPHADDBQrr
5123    437281489U,	// VPHADDBWrm
5124    336618193U,	// VPHADDBWrr
5125    437279331U,	// VPHADDDQrm
5126    336616035U,	// VPHADDDQrr
5127    2484096909U,	// VPHADDDYrm
5128    2484096909U,	// VPHADDDYrr
5129    2484096909U,	// VPHADDDrm
5130    2484096909U,	// VPHADDDrr
5131    2484102191U,	// VPHADDSWrm128
5132    2484102191U,	// VPHADDSWrm256
5133    2484102191U,	// VPHADDSWrr128
5134    2484102191U,	// VPHADDSWrr256
5135    437276487U,	// VPHADDUBDrm
5136    336613191U,	// VPHADDUBDrr
5137    437279217U,	// VPHADDUBQrm
5138    336615921U,	// VPHADDUBQrr
5139    437281523U,	// VPHADDUBWrm
5140    336618227U,	// VPHADDUBWrr
5141    437279452U,	// VPHADDUDQrm
5142    336616156U,	// VPHADDUDQrr
5143    437278147U,	// VPHADDUWDrm
5144    336614851U,	// VPHADDUWDrr
5145    437279734U,	// VPHADDUWQrm
5146    336616438U,	// VPHADDUWQrr
5147    437278059U,	// VPHADDWDrm
5148    336614763U,	// VPHADDWDrr
5149    437279724U,	// VPHADDWQrm
5150    336616428U,	// VPHADDWQrr
5151    2484101940U,	// VPHADDWYrm
5152    2484101940U,	// VPHADDWYrr
5153    2484101940U,	// VPHADDWrm
5154    2484101940U,	// VPHADDWrr
5155    437282008U,	// VPHMINPOSUWrm128
5156    336618712U,	// VPHMINPOSUWrr128
5157    437281460U,	// VPHSUBBWrm
5158    336618164U,	// VPHSUBBWrr
5159    437279313U,	// VPHSUBDQrm
5160    336616017U,	// VPHSUBDQrr
5161    2484096850U,	// VPHSUBDYrm
5162    2484096850U,	// VPHSUBDYrr
5163    2484096850U,	// VPHSUBDrm
5164    2484096850U,	// VPHSUBDrr
5165    2484102172U,	// VPHSUBSWrm128
5166    2484102172U,	// VPHSUBSWrm256
5167    2484102172U,	// VPHSUBSWrr128
5168    2484102172U,	// VPHSUBSWrr256
5169    437278049U,	// VPHSUBWDrm
5170    336614753U,	// VPHSUBWDrr
5171    2484101886U,	// VPHSUBWYrm
5172    2484101886U,	// VPHSUBWYrr
5173    2484101886U,	// VPHSUBWrm
5174    2484101886U,	// VPHSUBWrr
5175    2484096481U,	// VPINSRBrm
5176    2484096481U,	// VPINSRBrr
5177    2484097864U,	// VPINSRDrm
5178    2484097864U,	// VPINSRDrr
5179    2484099933U,	// VPINSRQrm
5180    2484099933U,	// VPINSRQrr
5181    2484102134U,	// VPINSRWrmi
5182    2484102134U,	// VPINSRWrri
5183    907035811U,	// VPLZCNTDrm
5184    2450539683U,	// VPLZCNTDrmb
5185    50349219U,	// VPLZCNTDrmbk
5186    2499822755U,	// VPLZCNTDrmbkz
5187    50349219U,	// VPLZCNTDrmk
5188    2499822755U,	// VPLZCNTDrmkz
5189    336610467U,	// VPLZCNTDrr
5190    50349219U,	// VPLZCNTDrrk
5191    2499822755U,	// VPLZCNTDrrkz
5192    907036618U,	// VPLZCNTQrm
5193    370165706U,	// VPLZCNTQrmb
5194    50350026U,	// VPLZCNTQrmbk
5195    2499823562U,	// VPLZCNTQrmbkz
5196    50350026U,	// VPLZCNTQrmk
5197    2499823562U,	// VPLZCNTQrmkz
5198    336611274U,	// VPLZCNTQrr
5199    50350026U,	// VPLZCNTQrrk
5200    2499823562U,	// VPLZCNTQrrkz
5201    2484096948U,	// VPMACSDDrm
5202    2484096948U,	// VPMACSDDrr
5203    2484098850U,	// VPMACSDQHrm
5204    2484098850U,	// VPMACSDQHrr
5205    2484099136U,	// VPMACSDQLrm
5206    2484099136U,	// VPMACSDQLrr
5207    2484096958U,	// VPMACSSDDrm
5208    2484096958U,	// VPMACSSDDrr
5209    2484098861U,	// VPMACSSDQHrm
5210    2484098861U,	// VPMACSSDQHrr
5211    2484099147U,	// VPMACSSDQLrm
5212    2484099147U,	// VPMACSSDQLrr
5213    2484098476U,	// VPMACSSWDrm
5214    2484098476U,	// VPMACSSWDrr
5215    2484102392U,	// VPMACSSWWrm
5216    2484102392U,	// VPMACSSWWrr
5217    2484098455U,	// VPMACSWDrm
5218    2484098455U,	// VPMACSWDrr
5219    2484102382U,	// VPMACSWWrm
5220    2484102382U,	// VPMACSWWrr
5221    2484098487U,	// VPMADCSSWDrm
5222    2484098487U,	// VPMADCSSWDrr
5223    2484098465U,	// VPMADCSWDrm
5224    2484098465U,	// VPMADCSWDrr
5225    2484102160U,	// VPMADDUBSWrm128
5226    2484102160U,	// VPMADDUBSWrm256
5227    2484102160U,	// VPMADDUBSWrr128
5228    2484102160U,	// VPMADDUBSWrr256
5229    2484098421U,	// VPMADDWDYrm
5230    2484098421U,	// VPMADDWDYrr
5231    2484098421U,	// VPMADDWDrm
5232    2484098421U,	// VPMADDWDrr
5233    2149176654U,	// VPMASKMOVDYmr
5234    2484098382U,	// VPMASKMOVDYrm
5235    2148799822U,	// VPMASKMOVDmr
5236    2484098382U,	// VPMASKMOVDrm
5237    2149178329U,	// VPMASKMOVQYmr
5238    2484100057U,	// VPMASKMOVQYrm
5239    2148801497U,	// VPMASKMOVQmr
5240    2484100057U,	// VPMASKMOVQrm
5241    2484096584U,	// VPMAXSBYrm
5242    2484096584U,	// VPMAXSBYrr
5243    2484096584U,	// VPMAXSBrm
5244    2484096584U,	// VPMAXSBrr
5245    2484098261U,	// VPMAXSDYrm
5246    2484098261U,	// VPMAXSDYrr
5247    2484094091U,	// VPMAXSDZrm
5248    2484094091U,	// VPMAXSDZrmb
5249    50349195U,	// VPMAXSDZrmbk
5250    2499822731U,	// VPMAXSDZrmbkz
5251    50349195U,	// VPMAXSDZrmk
5252    2499822731U,	// VPMAXSDZrmkz
5253    2484094091U,	// VPMAXSDZrr
5254    50349195U,	// VPMAXSDZrrk
5255    2499822731U,	// VPMAXSDZrrkz
5256    2484098261U,	// VPMAXSDrm
5257    2484098261U,	// VPMAXSDrr
5258    2484094898U,	// VPMAXSQZrm
5259    2484094898U,	// VPMAXSQZrmb
5260    50350002U,	// VPMAXSQZrmbk
5261    2499823538U,	// VPMAXSQZrmbkz
5262    50350002U,	// VPMAXSQZrmk
5263    2499823538U,	// VPMAXSQZrmkz
5264    2484094898U,	// VPMAXSQZrr
5265    50350002U,	// VPMAXSQZrrk
5266    2499823538U,	// VPMAXSQZrrkz
5267    2484102291U,	// VPMAXSWYrm
5268    2484102291U,	// VPMAXSWYrr
5269    2484102291U,	// VPMAXSWrm
5270    2484102291U,	// VPMAXSWrr
5271    2484096663U,	// VPMAXUBYrm
5272    2484096663U,	// VPMAXUBYrr
5273    2484096663U,	// VPMAXUBrm
5274    2484096663U,	// VPMAXUBrr
5275    2484098346U,	// VPMAXUDYrm
5276    2484098346U,	// VPMAXUDYrr
5277    2484094159U,	// VPMAXUDZrm
5278    2484094159U,	// VPMAXUDZrmb
5279    50349263U,	// VPMAXUDZrmbk
5280    2499822799U,	// VPMAXUDZrmbkz
5281    50349263U,	// VPMAXUDZrmk
5282    2499822799U,	// VPMAXUDZrmkz
5283    2484094159U,	// VPMAXUDZrr
5284    50349263U,	// VPMAXUDZrrk
5285    2499822799U,	// VPMAXUDZrrkz
5286    2484098346U,	// VPMAXUDrm
5287    2484098346U,	// VPMAXUDrr
5288    2484094966U,	// VPMAXUQZrm
5289    2484094966U,	// VPMAXUQZrmb
5290    50350070U,	// VPMAXUQZrmbk
5291    2499823606U,	// VPMAXUQZrmbkz
5292    50350070U,	// VPMAXUQZrmk
5293    2499823606U,	// VPMAXUQZrmkz
5294    2484094966U,	// VPMAXUQZrr
5295    50350070U,	// VPMAXUQZrrk
5296    2499823606U,	// VPMAXUQZrrkz
5297    2484102373U,	// VPMAXUWYrm
5298    2484102373U,	// VPMAXUWYrr
5299    2484102373U,	// VPMAXUWrm
5300    2484102373U,	// VPMAXUWrr
5301    2484096525U,	// VPMINSBYrm
5302    2484096525U,	// VPMINSBYrr
5303    2484096525U,	// VPMINSBrm
5304    2484096525U,	// VPMINSBrr
5305    2484098181U,	// VPMINSDYrm
5306    2484098181U,	// VPMINSDYrr
5307    2484094057U,	// VPMINSDZrm
5308    2484094057U,	// VPMINSDZrmb
5309    50349161U,	// VPMINSDZrmbk
5310    2499822697U,	// VPMINSDZrmbkz
5311    50349161U,	// VPMINSDZrmk
5312    2499822697U,	// VPMINSDZrmkz
5313    2484094057U,	// VPMINSDZrr
5314    50349161U,	// VPMINSDZrrk
5315    2499822697U,	// VPMINSDZrrkz
5316    2484098181U,	// VPMINSDrm
5317    2484098181U,	// VPMINSDrr
5318    2484094888U,	// VPMINSQZrm
5319    2484094888U,	// VPMINSQZrmb
5320    50349992U,	// VPMINSQZrmbk
5321    2499823528U,	// VPMINSQZrmbkz
5322    50349992U,	// VPMINSQZrmk
5323    2499823528U,	// VPMINSQZrmkz
5324    2484094888U,	// VPMINSQZrr
5325    50349992U,	// VPMINSQZrrk
5326    2499823528U,	// VPMINSQZrrkz
5327    2484102222U,	// VPMINSWYrm
5328    2484102222U,	// VPMINSWYrr
5329    2484102222U,	// VPMINSWrm
5330    2484102222U,	// VPMINSWrr
5331    2484096640U,	// VPMINUBYrm
5332    2484096640U,	// VPMINUBYrr
5333    2484096640U,	// VPMINUBrm
5334    2484096640U,	// VPMINUBrr
5335    2484098328U,	// VPMINUDYrm
5336    2484098328U,	// VPMINUDYrr
5337    2484094149U,	// VPMINUDZrm
5338    2484094149U,	// VPMINUDZrmb
5339    50349253U,	// VPMINUDZrmbk
5340    2499822789U,	// VPMINUDZrmbkz
5341    50349253U,	// VPMINUDZrmk
5342    2499822789U,	// VPMINUDZrmkz
5343    2484094149U,	// VPMINUDZrr
5344    50349253U,	// VPMINUDZrrk
5345    2499822789U,	// VPMINUDZrrkz
5346    2484098328U,	// VPMINUDrm
5347    2484098328U,	// VPMINUDrr
5348    2484094956U,	// VPMINUQZrm
5349    2484094956U,	// VPMINUQZrmb
5350    50350060U,	// VPMINUQZrmbk
5351    2499823596U,	// VPMINUQZrmbkz
5352    50350060U,	// VPMINUQZrmk
5353    2499823596U,	// VPMINUQZrmkz
5354    2484094956U,	// VPMINUQZrr
5355    50350060U,	// VPMINUQZrrk
5356    2499823596U,	// VPMINUQZrrkz
5357    2484102351U,	// VPMINUWYrm
5358    2484102351U,	// VPMINUWYrr
5359    2484102351U,	// VPMINUWrm
5360    2484102351U,	// VPMINUWrr
5361    1310788U,	// VPMOVDBmr
5362    17039428U,	// VPMOVDBmrk
5363    336609348U,	// VPMOVDBrr
5364    352337988U,	// VPMOVDBrrk
5365    2499821636U,	// VPMOVDBrrkz
5366    1690341U,	// VPMOVDWmr
5367    17418981U,	// VPMOVDWmrk
5368    336612069U,	// VPMOVDWrr
5369    352340709U,	// VPMOVDWrrk
5370    2499824357U,	// VPMOVDWrrkz
5371    336612787U,	// VPMOVMSKBYrr
5372    336612787U,	// VPMOVMSKBrr
5373    1310830U,	// VPMOVQBmr
5374    17039470U,	// VPMOVQBmrk
5375    336609390U,	// VPMOVQBrr
5376    352338030U,	// VPMOVQBrrk
5377    2499821678U,	// VPMOVQBrrkz
5378    1688476U,	// VPMOVQDmr
5379    17417116U,	// VPMOVQDmrk
5380    336610204U,	// VPMOVQDrr
5381    352338844U,	// VPMOVQDrrk
5382    2499822492U,	// VPMOVQDrrkz
5383    1313562U,	// VPMOVQWmr
5384    17042202U,	// VPMOVQWmrk
5385    336612122U,	// VPMOVQWrr
5386    352340762U,	// VPMOVQWrrk
5387    2499824410U,	// VPMOVQWrrkz
5388    1310777U,	// VPMOVSDBmr
5389    17039417U,	// VPMOVSDBmrk
5390    336609337U,	// VPMOVSDBrr
5391    352337977U,	// VPMOVSDBrrk
5392    2499821625U,	// VPMOVSDBrrkz
5393    1690330U,	// VPMOVSDWmr
5394    17418970U,	// VPMOVSDWmrk
5395    336612058U,	// VPMOVSDWrr
5396    352340698U,	// VPMOVSDWrrk
5397    2499824346U,	// VPMOVSDWrrkz
5398    1310819U,	// VPMOVSQBmr
5399    17039459U,	// VPMOVSQBmrk
5400    336609379U,	// VPMOVSQBrr
5401    352338019U,	// VPMOVSQBrrk
5402    2499821667U,	// VPMOVSQBrrkz
5403    1688465U,	// VPMOVSQDmr
5404    17417105U,	// VPMOVSQDmrk
5405    336610193U,	// VPMOVSQDrr
5406    352338833U,	// VPMOVSQDrrk
5407    2499822481U,	// VPMOVSQDrrkz
5408    1313551U,	// VPMOVSQWmr
5409    17042191U,	// VPMOVSQWmrk
5410    336612111U,	// VPMOVSQWrr
5411    352340751U,	// VPMOVSQWrrk
5412    2499824399U,	// VPMOVSQWrrkz
5413    303058787U,	// VPMOVSXBDYrm
5414    336613219U,	// VPMOVSXBDYrr
5415    437272794U,	// VPMOVSXBDZrm
5416    352338138U,	// VPMOVSXBDZrmk
5417    2499821786U,	// VPMOVSXBDZrmkz
5418    336609498U,	// VPMOVSXBDZrr
5419    352338138U,	// VPMOVSXBDZrrk
5420    2499821786U,	// VPMOVSXBDZrrkz
5421    303058787U,	// VPMOVSXBDrm
5422    336613219U,	// VPMOVSXBDrr
5423    470833668U,	// VPMOVSXBQYrm
5424    336615940U,	// VPMOVSXBQYrr
5425    437274088U,	// VPMOVSXBQZrm
5426    352339432U,	// VPMOVSXBQZrmk
5427    2499823080U,	// VPMOVSXBQZrmkz
5428    336610792U,	// VPMOVSXBQZrr
5429    352339432U,	// VPMOVSXBQZrrk
5430    2499823080U,	// VPMOVSXBQZrrkz
5431    470833668U,	// VPMOVSXBQrm
5432    336615940U,	// VPMOVSXBQrr
5433    437281551U,	// VPMOVSXBWYrm
5434    336618255U,	// VPMOVSXBWYrr
5435    370172687U,	// VPMOVSXBWrm
5436    336618255U,	// VPMOVSXBWrr
5437    437279473U,	// VPMOVSXDQYrm
5438    336616177U,	// VPMOVSXDQYrr
5439    839927528U,	// VPMOVSXDQZrm
5440    352339688U,	// VPMOVSXDQZrmk
5441    2499823336U,	// VPMOVSXDQZrmkz
5442    336611048U,	// VPMOVSXDQZrr
5443    352339688U,	// VPMOVSXDQZrrk
5444    2499823336U,	// VPMOVSXDQZrrkz
5445    370170609U,	// VPMOVSXDQrm
5446    336616177U,	// VPMOVSXDQrr
5447    437278158U,	// VPMOVSXWDYrm
5448    336614862U,	// VPMOVSXWDYrr
5449    839927039U,	// VPMOVSXWDZrm
5450    352339199U,	// VPMOVSXWDZrmk
5451    2499822847U,	// VPMOVSXWDZrmkz
5452    336610559U,	// VPMOVSXWDZrr
5453    352339199U,	// VPMOVSXWDZrrk
5454    2499822847U,	// VPMOVSXWDZrrkz
5455    370169294U,	// VPMOVSXWDrm
5456    336614862U,	// VPMOVSXWDrr
5457    303062017U,	// VPMOVSXWQYrm
5458    336616449U,	// VPMOVSXWQYrr
5459    437274662U,	// VPMOVSXWQZrm
5460    352340006U,	// VPMOVSXWQZrmk
5461    2499823654U,	// VPMOVSXWQZrmkz
5462    336611366U,	// VPMOVSXWQZrr
5463    352340006U,	// VPMOVSXWQZrrk
5464    2499823654U,	// VPMOVSXWQZrrkz
5465    303062017U,	// VPMOVSXWQrm
5466    336616449U,	// VPMOVSXWQrr
5467    1310765U,	// VPMOVUSDBmr
5468    17039405U,	// VPMOVUSDBmrk
5469    336609325U,	// VPMOVUSDBrr
5470    352337965U,	// VPMOVUSDBrrk
5471    2499821613U,	// VPMOVUSDBrrkz
5472    1690318U,	// VPMOVUSDWmr
5473    17418958U,	// VPMOVUSDWmrk
5474    336612046U,	// VPMOVUSDWrr
5475    352340686U,	// VPMOVUSDWrrk
5476    2499824334U,	// VPMOVUSDWrrkz
5477    1310807U,	// VPMOVUSQBmr
5478    17039447U,	// VPMOVUSQBmrk
5479    336609367U,	// VPMOVUSQBrr
5480    352338007U,	// VPMOVUSQBrrk
5481    2499821655U,	// VPMOVUSQBrrkz
5482    1688453U,	// VPMOVUSQDmr
5483    17417093U,	// VPMOVUSQDmrk
5484    336610181U,	// VPMOVUSQDrr
5485    352338821U,	// VPMOVUSQDrrk
5486    2499822469U,	// VPMOVUSQDrrkz
5487    1313539U,	// VPMOVUSQWmr
5488    17042179U,	// VPMOVUSQWmrk
5489    336612099U,	// VPMOVUSQWrr
5490    352340739U,	// VPMOVUSQWrrk
5491    2499824387U,	// VPMOVUSQWrrkz
5492    303058798U,	// VPMOVZXBDYrm
5493    336613230U,	// VPMOVZXBDYrr
5494    437272806U,	// VPMOVZXBDZrm
5495    352338150U,	// VPMOVZXBDZrmk
5496    2499821798U,	// VPMOVZXBDZrmkz
5497    336609510U,	// VPMOVZXBDZrr
5498    352338150U,	// VPMOVZXBDZrrk
5499    2499821798U,	// VPMOVZXBDZrrkz
5500    303058798U,	// VPMOVZXBDrm
5501    336613230U,	// VPMOVZXBDrr
5502    470833679U,	// VPMOVZXBQYrm
5503    336615951U,	// VPMOVZXBQYrr
5504    437274100U,	// VPMOVZXBQZrm
5505    352339444U,	// VPMOVZXBQZrmk
5506    2499823092U,	// VPMOVZXBQZrmkz
5507    336610804U,	// VPMOVZXBQZrr
5508    352339444U,	// VPMOVZXBQZrrk
5509    2499823092U,	// VPMOVZXBQZrrkz
5510    470833679U,	// VPMOVZXBQrm
5511    336615951U,	// VPMOVZXBQrr
5512    437281562U,	// VPMOVZXBWYrm
5513    336618266U,	// VPMOVZXBWYrr
5514    370172698U,	// VPMOVZXBWrm
5515    336618266U,	// VPMOVZXBWrr
5516    437279484U,	// VPMOVZXDQYrm
5517    336616188U,	// VPMOVZXDQYrr
5518    839927540U,	// VPMOVZXDQZrm
5519    352339700U,	// VPMOVZXDQZrmk
5520    2499823348U,	// VPMOVZXDQZrmkz
5521    336611060U,	// VPMOVZXDQZrr
5522    352339700U,	// VPMOVZXDQZrrk
5523    2499823348U,	// VPMOVZXDQZrrkz
5524    370170620U,	// VPMOVZXDQrm
5525    336616188U,	// VPMOVZXDQrr
5526    437278169U,	// VPMOVZXWDYrm
5527    336614873U,	// VPMOVZXWDYrr
5528    839927051U,	// VPMOVZXWDZrm
5529    352339211U,	// VPMOVZXWDZrmk
5530    2499822859U,	// VPMOVZXWDZrmkz
5531    336610571U,	// VPMOVZXWDZrr
5532    352339211U,	// VPMOVZXWDZrrk
5533    2499822859U,	// VPMOVZXWDZrrkz
5534    370169305U,	// VPMOVZXWDrm
5535    336614873U,	// VPMOVZXWDrr
5536    303062028U,	// VPMOVZXWQYrm
5537    336616460U,	// VPMOVZXWQYrr
5538    437274674U,	// VPMOVZXWQZrm
5539    352340018U,	// VPMOVZXWQZrmk
5540    2499823666U,	// VPMOVZXWQZrmkz
5541    336611378U,	// VPMOVZXWQZrr
5542    352340018U,	// VPMOVZXWQZrrk
5543    2499823666U,	// VPMOVZXWQZrrkz
5544    303062028U,	// VPMOVZXWQrm
5545    336616460U,	// VPMOVZXWQrr
5546    2484099735U,	// VPMULDQYrm
5547    2484099735U,	// VPMULDQYrr
5548    2484094549U,	// VPMULDQZrm
5549    2484094549U,	// VPMULDQZrmb
5550    352339541U,	// VPMULDQZrmbk
5551    2499823189U,	// VPMULDQZrmbkz
5552    352339541U,	// VPMULDQZrmk
5553    2499823189U,	// VPMULDQZrmkz
5554    2484094549U,	// VPMULDQZrr
5555    352339541U,	// VPMULDQZrrk
5556    2499823189U,	// VPMULDQZrrkz
5557    2484099735U,	// VPMULDQrm
5558    2484099735U,	// VPMULDQrr
5559    2484102245U,	// VPMULHRSWrm128
5560    2484102245U,	// VPMULHRSWrm256
5561    2484102245U,	// VPMULHRSWrr128
5562    2484102245U,	// VPMULHRSWrr256
5563    2484102332U,	// VPMULHUWYrm
5564    2484102332U,	// VPMULHUWYrr
5565    2484102332U,	// VPMULHUWrm
5566    2484102332U,	// VPMULHUWrr
5567    2484102033U,	// VPMULHWYrm
5568    2484102033U,	// VPMULHWYrr
5569    2484102033U,	// VPMULHWrm
5570    2484102033U,	// VPMULHWrr
5571    2484097051U,	// VPMULLDYrm
5572    2484097051U,	// VPMULLDYrr
5573    2484093242U,	// VPMULLDZrm
5574    2484093242U,	// VPMULLDZrmb
5575    50348346U,	// VPMULLDZrmbk
5576    2499821882U,	// VPMULLDZrmbkz
5577    50348346U,	// VPMULLDZrmk
5578    2499821882U,	// VPMULLDZrmkz
5579    2484093242U,	// VPMULLDZrr
5580    50348346U,	// VPMULLDZrrk
5581    2499821882U,	// VPMULLDZrrkz
5582    2484097051U,	// VPMULLDrm
5583    2484097051U,	// VPMULLDrr
5584    2484102075U,	// VPMULLWYrm
5585    2484102075U,	// VPMULLWYrr
5586    2484102075U,	// VPMULLWrm
5587    2484102075U,	// VPMULLWrr
5588    2484099815U,	// VPMULUDQYrm
5589    2484099815U,	// VPMULUDQYrr
5590    2484094685U,	// VPMULUDQZrm
5591    2484094685U,	// VPMULUDQZrmb
5592    352339677U,	// VPMULUDQZrmbk
5593    2499823325U,	// VPMULUDQZrmbkz
5594    352339677U,	// VPMULUDQZrmk
5595    2499823325U,	// VPMULUDQZrmkz
5596    2484094685U,	// VPMULUDQZrr
5597    352339677U,	// VPMULUDQZrrk
5598    2499823325U,	// VPMULUDQZrrkz
5599    2484099815U,	// VPMULUDQrm
5600    2484099815U,	// VPMULUDQrr
5601    2484093878U,	// VPORDZrm
5602    2484093878U,	// VPORDZrmb
5603    50348982U,	// VPORDZrmbk
5604    2499822518U,	// VPORDZrmbkz
5605    50348982U,	// VPORDZrmk
5606    2499822518U,	// VPORDZrmkz
5607    2484093878U,	// VPORDZrr
5608    50348982U,	// VPORDZrrk
5609    2499822518U,	// VPORDZrrkz
5610    2484094854U,	// VPORQZrm
5611    2484094854U,	// VPORQZrmb
5612    50349958U,	// VPORQZrmbk
5613    2499823494U,	// VPORQZrmbkz
5614    50349958U,	// VPORQZrmk
5615    2499823494U,	// VPORQZrmkz
5616    2484094854U,	// VPORQZrr
5617    50349958U,	// VPORQZrrk
5618    2499823494U,	// VPORQZrrkz
5619    2484100181U,	// VPORYrm
5620    2484100181U,	// VPORYrr
5621    2484100181U,	// VPORrm
5622    2484100181U,	// VPORrr
5623    2484099216U,	// VPPERMmr
5624    2484099216U,	// VPPERMrm
5625    2484099216U,	// VPPERMrr
5626    2584759905U,	// VPROTBmi
5627    2584759905U,	// VPROTBmr
5628    2484096609U,	// VPROTBri
5629    2484096609U,	// VPROTBrm
5630    2484096609U,	// VPROTBrr
5631    2584761593U,	// VPROTDmi
5632    2584761593U,	// VPROTDmr
5633    2484098297U,	// VPROTDri
5634    2484098297U,	// VPROTDrm
5635    2484098297U,	// VPROTDrr
5636    2584763286U,	// VPROTQmi
5637    2584763286U,	// VPROTQmr
5638    2484099990U,	// VPROTQri
5639    2484099990U,	// VPROTQrm
5640    2484099990U,	// VPROTQrr
5641    2584765606U,	// VPROTWmi
5642    2584765606U,	// VPROTWmr
5643    2484102310U,	// VPROTWri
5644    2484102310U,	// VPROTWrm
5645    2484102310U,	// VPROTWrr
5646    2484101832U,	// VPSADBWYrm
5647    2484101832U,	// VPSADBWYrr
5648    2484101832U,	// VPSADBWrm
5649    2484101832U,	// VPSADBWrr
5650    688409U,	// VPSCATTERDDZmr
5651    706201U,	// VPSCATTERDQZmr
5652    705399U,	// VPSCATTERQDZmr
5653    706408U,	// VPSCATTERQQZmr
5654    2584759681U,	// VPSHABmr
5655    2484096385U,	// VPSHABrm
5656    2484096385U,	// VPSHABrr
5657    2584760109U,	// VPSHADmr
5658    2484096813U,	// VPSHADrm
5659    2484096813U,	// VPSHADrr
5660    2584762847U,	// VPSHAQmr
5661    2484099551U,	// VPSHAQrm
5662    2484099551U,	// VPSHAQrr
5663    2584765092U,	// VPSHAWmr
5664    2484101796U,	// VPSHAWrm
5665    2484101796U,	// VPSHAWrr
5666    2584759742U,	// VPSHLBmr
5667    2484096446U,	// VPSHLBrm
5668    2484096446U,	// VPSHLBrr
5669    2584760325U,	// VPSHLDmr
5670    2484097029U,	// VPSHLDrm
5671    2484097029U,	// VPSHLDrr
5672    2584763159U,	// VPSHLQmr
5673    2484099863U,	// VPSHLQrm
5674    2484099863U,	// VPSHLQrr
5675    2584765355U,	// VPSHLWmr
5676    2484102059U,	// VPSHLWrm
5677    2484102059U,	// VPSHLWrr
5678    2484096414U,	// VPSHUFBYrm
5679    2484096414U,	// VPSHUFBYrr
5680    2484096414U,	// VPSHUFBrm
5681    2484096414U,	// VPSHUFBrr
5682    2987413464U,	// VPSHUFDYmi
5683    2484096984U,	// VPSHUFDYri
5684    3054518567U,	// VPSHUFDZmi
5685    2484093223U,	// VPSHUFDZri
5686    2584760280U,	// VPSHUFDmi
5687    2484096984U,	// VPSHUFDri
5688    2987418503U,	// VPSHUFHWYmi
5689    2484102023U,	// VPSHUFHWYri
5690    2584765319U,	// VPSHUFHWmi
5691    2484102023U,	// VPSHUFHWri
5692    2987418529U,	// VPSHUFLWYmi
5693    2484102049U,	// VPSHUFLWYri
5694    2584765345U,	// VPSHUFLWmi
5695    2484102049U,	// VPSHUFLWri
5696    2484096462U,	// VPSIGNBYrm
5697    2484096462U,	// VPSIGNBYrr
5698    2484096462U,	// VPSIGNBrm
5699    2484096462U,	// VPSIGNBrr
5700    2484097108U,	// VPSIGNDYrm
5701    2484097108U,	// VPSIGNDYrr
5702    2484097108U,	// VPSIGNDrm
5703    2484097108U,	// VPSIGNDrr
5704    2484102100U,	// VPSIGNWYrm
5705    2484102100U,	// VPSIGNWYrr
5706    2484102100U,	// VPSIGNWrm
5707    2484102100U,	// VPSIGNWrr
5708    2484099717U,	// VPSLLDQYri
5709    2484099717U,	// VPSLLDQri
5710    2484097043U,	// VPSLLDYri
5711    2484097043U,	// VPSLLDYrm
5712    2484097043U,	// VPSLLDYrr
5713    3054518577U,	// VPSLLDZmi
5714    352338225U,	// VPSLLDZmik
5715    2484093233U,	// VPSLLDZri
5716    352338225U,	// VPSLLDZrik
5717    2484093233U,	// VPSLLDZrm
5718    352338225U,	// VPSLLDZrmk
5719    2484093233U,	// VPSLLDZrr
5720    352338225U,	// VPSLLDZrrk
5721    2484097043U,	// VPSLLDri
5722    2484097043U,	// VPSLLDrm
5723    2484097043U,	// VPSLLDrr
5724    2484099871U,	// VPSLLQYri
5725    2484099871U,	// VPSLLQYrm
5726    2484099871U,	// VPSLLQYrr
5727    3054520064U,	// VPSLLQZmi
5728    352339712U,	// VPSLLQZmik
5729    2484094720U,	// VPSLLQZri
5730    352339712U,	// VPSLLQZrik
5731    2484094720U,	// VPSLLQZrm
5732    352339712U,	// VPSLLQZrmk
5733    2484094720U,	// VPSLLQZrr
5734    352339712U,	// VPSLLQZrrk
5735    2484099871U,	// VPSLLQri
5736    2484099871U,	// VPSLLQrm
5737    2484099871U,	// VPSLLQrr
5738    2484098364U,	// VPSLLVDYrm
5739    2484098364U,	// VPSLLVDYrr
5740    2484094179U,	// VPSLLVDZrm
5741    2484094179U,	// VPSLLVDZrr
5742    2484098364U,	// VPSLLVDrm
5743    2484098364U,	// VPSLLVDrr
5744    2484100039U,	// VPSLLVQYrm
5745    2484100039U,	// VPSLLVQYrr
5746    2484094986U,	// VPSLLVQZrm
5747    2484094986U,	// VPSLLVQZrr
5748    2484100039U,	// VPSLLVQrm
5749    2484100039U,	// VPSLLVQrr
5750    2484102067U,	// VPSLLWYri
5751    2484102067U,	// VPSLLWYrm
5752    2484102067U,	// VPSLLWYrr
5753    2484102067U,	// VPSLLWri
5754    2484102067U,	// VPSLLWrm
5755    2484102067U,	// VPSLLWrr
5756    2484096821U,	// VPSRADYri
5757    2484096821U,	// VPSRADYrm
5758    2484096821U,	// VPSRADYrr
5759    3054518472U,	// VPSRADZmi
5760    352338120U,	// VPSRADZmik
5761    2484093128U,	// VPSRADZri
5762    352338120U,	// VPSRADZrik
5763    2484093128U,	// VPSRADZrm
5764    352338120U,	// VPSRADZrmk
5765    2484093128U,	// VPSRADZrr
5766    352338120U,	// VPSRADZrrk
5767    2484096821U,	// VPSRADri
5768    2484096821U,	// VPSRADrm
5769    2484096821U,	// VPSRADrr
5770    3054519766U,	// VPSRAQZmi
5771    352339414U,	// VPSRAQZmik
5772    2484094422U,	// VPSRAQZri
5773    352339414U,	// VPSRAQZrik
5774    2484094422U,	// VPSRAQZrm
5775    352339414U,	// VPSRAQZrmk
5776    2484094422U,	// VPSRAQZrr
5777    352339414U,	// VPSRAQZrrk
5778    2484098355U,	// VPSRAVDYrm
5779    2484098355U,	// VPSRAVDYrr
5780    2484094169U,	// VPSRAVDZrm
5781    2484094169U,	// VPSRAVDZrr
5782    2484098355U,	// VPSRAVDrm
5783    2484098355U,	// VPSRAVDrr
5784    2484094976U,	// VPSRAVQZrm
5785    2484094976U,	// VPSRAVQZrr
5786    2484101804U,	// VPSRAWYri
5787    2484101804U,	// VPSRAWYrm
5788    2484101804U,	// VPSRAWYrr
5789    2484101804U,	// VPSRAWri
5790    2484101804U,	// VPSRAWrm
5791    2484101804U,	// VPSRAWrr
5792    2484099726U,	// VPSRLDQYri
5793    2484099726U,	// VPSRLDQri
5794    2484097060U,	// VPSRLDYri
5795    2484097060U,	// VPSRLDYrm
5796    2484097060U,	// VPSRLDYrr
5797    3054518596U,	// VPSRLDZmi
5798    352338244U,	// VPSRLDZmik
5799    2484093252U,	// VPSRLDZri
5800    352338244U,	// VPSRLDZrik
5801    2484093252U,	// VPSRLDZrm
5802    352338244U,	// VPSRLDZrmk
5803    2484093252U,	// VPSRLDZrr
5804    352338244U,	// VPSRLDZrrk
5805    2484097060U,	// VPSRLDri
5806    2484097060U,	// VPSRLDrm
5807    2484097060U,	// VPSRLDrr
5808    2484099879U,	// VPSRLQYri
5809    2484099879U,	// VPSRLQYrm
5810    2484099879U,	// VPSRLQYrr
5811    3054520073U,	// VPSRLQZmi
5812    352339721U,	// VPSRLQZmik
5813    2484094729U,	// VPSRLQZri
5814    352339721U,	// VPSRLQZrik
5815    2484094729U,	// VPSRLQZrm
5816    352339721U,	// VPSRLQZrmk
5817    2484094729U,	// VPSRLQZrr
5818    352339721U,	// VPSRLQZrrk
5819    2484099879U,	// VPSRLQri
5820    2484099879U,	// VPSRLQrm
5821    2484099879U,	// VPSRLQrr
5822    2484098373U,	// VPSRLVDYrm
5823    2484098373U,	// VPSRLVDYrr
5824    2484094189U,	// VPSRLVDZrm
5825    2484094189U,	// VPSRLVDZrr
5826    2484098373U,	// VPSRLVDrm
5827    2484098373U,	// VPSRLVDrr
5828    2484100048U,	// VPSRLVQYrm
5829    2484100048U,	// VPSRLVQYrr
5830    2484094996U,	// VPSRLVQZrm
5831    2484094996U,	// VPSRLVQZrr
5832    2484100048U,	// VPSRLVQrm
5833    2484100048U,	// VPSRLVQrr
5834    2484102084U,	// VPSRLWYri
5835    2484102084U,	// VPSRLWYrm
5836    2484102084U,	// VPSRLWYrr
5837    2484102084U,	// VPSRLWri
5838    2484102084U,	// VPSRLWrm
5839    2484102084U,	// VPSRLWrr
5840    2484096398U,	// VPSUBBYrm
5841    2484096398U,	// VPSUBBYrr
5842    2484096398U,	// VPSUBBrm
5843    2484096398U,	// VPSUBBrr
5844    2484096859U,	// VPSUBDYrm
5845    2484096859U,	// VPSUBDYrr
5846    2484093137U,	// VPSUBDZrm
5847    2484093137U,	// VPSUBDZrmb
5848    50348241U,	// VPSUBDZrmbk
5849    2499821777U,	// VPSUBDZrmbkz
5850    50348241U,	// VPSUBDZrmk
5851    2499821777U,	// VPSUBDZrmkz
5852    2484093137U,	// VPSUBDZrr
5853    50348241U,	// VPSUBDZrrk
5854    2499821777U,	// VPSUBDZrrkz
5855    2484096859U,	// VPSUBDrm
5856    2484096859U,	// VPSUBDrr
5857    2484099580U,	// VPSUBQYrm
5858    2484099580U,	// VPSUBQYrr
5859    2484094431U,	// VPSUBQZrm
5860    2484094431U,	// VPSUBQZrmb
5861    50349535U,	// VPSUBQZrmbk
5862    2499823071U,	// VPSUBQZrmbkz
5863    50349535U,	// VPSUBQZrmk
5864    2499823071U,	// VPSUBQZrmkz
5865    2484094431U,	// VPSUBQZrr
5866    50349535U,	// VPSUBQZrrk
5867    2499823071U,	// VPSUBQZrrkz
5868    2484099580U,	// VPSUBQrm
5869    2484099580U,	// VPSUBQrr
5870    2484096507U,	// VPSUBSBYrm
5871    2484096507U,	// VPSUBSBYrr
5872    2484096507U,	// VPSUBSBrm
5873    2484096507U,	// VPSUBSBrr
5874    2484102182U,	// VPSUBSWYrm
5875    2484102182U,	// VPSUBSWYrr
5876    2484102182U,	// VPSUBSWrm
5877    2484102182U,	// VPSUBSWrr
5878    2484096548U,	// VPSUBUSBYrm
5879    2484096548U,	// VPSUBUSBYrr
5880    2484096548U,	// VPSUBUSBrm
5881    2484096548U,	// VPSUBUSBrr
5882    2484102264U,	// VPSUBUSWYrm
5883    2484102264U,	// VPSUBUSWYrr
5884    2484102264U,	// VPSUBUSWrm
5885    2484102264U,	// VPSUBUSWrr
5886    2484101895U,	// VPSUBWYrm
5887    2484101895U,	// VPSUBWYrr
5888    2484101895U,	// VPSUBWrm
5889    2484101895U,	// VPSUBWrr
5890    2484093294U,	// VPTESTMDZrm
5891    2484093294U,	// VPTESTMDZrr
5892    2484094771U,	// VPTESTMQZrm
5893    2484094771U,	// VPTESTMQZrr
5894    2484093273U,	// VPTESTNMDZrm
5895    2484093273U,	// VPTESTNMDZrr
5896    2484094750U,	// VPTESTNMQZrm
5897    2484094750U,	// VPTESTNMQZrr
5898    839934503U,	// VPTESTYrm
5899    336618023U,	// VPTESTYrr
5900    537944615U,	// VPTESTrm
5901    336618023U,	// VPTESTrr
5902    2484101851U,	// VPUNPCKHBWYrm
5903    2484101851U,	// VPUNPCKHBWYrr
5904    2484101851U,	// VPUNPCKHBWrm
5905    2484101851U,	// VPUNPCKHBWrr
5906    2484099693U,	// VPUNPCKHDQYrm
5907    2484099693U,	// VPUNPCKHDQYrr
5908    2484094523U,	// VPUNPCKHDQZrm
5909    2484094523U,	// VPUNPCKHDQZrr
5910    2484099693U,	// VPUNPCKHDQrm
5911    2484099693U,	// VPUNPCKHDQrr
5912    2484099744U,	// VPUNPCKHQDQYrm
5913    2484099744U,	// VPUNPCKHQDQYrr
5914    2484094576U,	// VPUNPCKHQDQZrm
5915    2484094576U,	// VPUNPCKHQDQZrr
5916    2484099744U,	// VPUNPCKHQDQrm
5917    2484099744U,	// VPUNPCKHQDQrr
5918    2484098431U,	// VPUNPCKHWDYrm
5919    2484098431U,	// VPUNPCKHWDYrr
5920    2484098431U,	// VPUNPCKHWDrm
5921    2484098431U,	// VPUNPCKHWDrr
5922    2484101863U,	// VPUNPCKLBWYrm
5923    2484101863U,	// VPUNPCKLBWYrr
5924    2484101863U,	// VPUNPCKLBWrm
5925    2484101863U,	// VPUNPCKLBWrr
5926    2484099705U,	// VPUNPCKLDQYrm
5927    2484099705U,	// VPUNPCKLDQYrr
5928    2484094536U,	// VPUNPCKLDQZrm
5929    2484094536U,	// VPUNPCKLDQZrr
5930    2484099705U,	// VPUNPCKLDQrm
5931    2484099705U,	// VPUNPCKLDQrr
5932    2484099757U,	// VPUNPCKLQDQYrm
5933    2484099757U,	// VPUNPCKLQDQYrr
5934    2484094590U,	// VPUNPCKLQDQZrm
5935    2484094590U,	// VPUNPCKLQDQZrr
5936    2484099757U,	// VPUNPCKLQDQrm
5937    2484099757U,	// VPUNPCKLQDQrr
5938    2484098443U,	// VPUNPCKLWDYrm
5939    2484098443U,	// VPUNPCKLWDYrr
5940    2484098443U,	// VPUNPCKLWDrm
5941    2484098443U,	// VPUNPCKLWDrr
5942    2484093894U,	// VPXORDZrm
5943    2484093894U,	// VPXORDZrmb
5944    50348998U,	// VPXORDZrmbk
5945    2499822534U,	// VPXORDZrmbkz
5946    50348998U,	// VPXORDZrmk
5947    2499822534U,	// VPXORDZrmkz
5948    2484093894U,	// VPXORDZrr
5949    50348998U,	// VPXORDZrrk
5950    2499822534U,	// VPXORDZrrkz
5951    2484094870U,	// VPXORQZrm
5952    2484094870U,	// VPXORQZrmb
5953    50349974U,	// VPXORQZrmbk
5954    2499823510U,	// VPXORQZrmbkz
5955    50349974U,	// VPXORQZrmk
5956    2499823510U,	// VPXORQZrmkz
5957    2484094870U,	// VPXORQZrr
5958    50349974U,	// VPXORQZrrk
5959    2499823510U,	// VPXORQZrrkz
5960    2484100209U,	// VPXORYrm
5961    2484100209U,	// VPXORYrr
5962    2484100209U,	// VPXORrm
5963    2484100209U,	// VPXORrr
5964    974144139U,	// VRCP14PDZm
5965    336609931U,	// VRCP14PDZr
5966    974145843U,	// VRCP14PSZm
5967    336611635U,	// VRCP14PSZr
5968    2484093986U,	// VRCP14SDrm
5969    2484093986U,	// VRCP14SDrr
5970    2484095589U,	// VRCP14SSrm
5971    2484095589U,	// VRCP14SSrr
5972    974144163U,	// VRCP28PDZm
5973    336609955U,	// VRCP28PDZr
5974    336609955U,	// VRCP28PDZrb
5975    974145867U,	// VRCP28PSZm
5976    336611659U,	// VRCP28PSZr
5977    336611659U,	// VRCP28PSZrb
5978    2484094010U,	// VRCP28SDrm
5979    2484094010U,	// VRCP28SDrr
5980    2484094010U,	// VRCP28SDrrb
5981    2484095613U,	// VRCP28SSrm
5982    2484095613U,	// VRCP28SSrr
5983    2484095613U,	// VRCP28SSrrb
5984    940597076U,	// VRCPPSYm
5985    940597076U,	// VRCPPSYm_Int
5986    336617300U,	// VRCPPSYr
5987    336617300U,	// VRCPPSYr_Int
5988    537943892U,	// VRCPPSm
5989    537943892U,	// VRCPPSm_Int
5990    336617300U,	// VRCPPSr
5991    336617300U,	// VRCPPSr_Int
5992    2484101415U,	// VRCPSSm
5993    2484101415U,	// VRCPSSm_Int
5994    2484101415U,	// VRCPSSr
5995    3121627880U,	// VRNDSCALEPDZm
5996    2484093672U,	// VRNDSCALEPDZr
5997    3121629584U,	// VRNDSCALEPSZm
5998    2484095376U,	// VRNDSCALEPSZr
5999    2484094043U,	// VRNDSCALESDm
6000    2484094043U,	// VRNDSCALESDr
6001    2484095637U,	// VRNDSCALESSm
6002    2484095637U,	// VRNDSCALESSr
6003    2685424166U,	// VROUNDPDm
6004    2484097574U,	// VROUNDPDr
6005    2685427393U,	// VROUNDPSm
6006    2484100801U,	// VROUNDPSr
6007    2484098144U,	// VROUNDSDm
6008    2484098144U,	// VROUNDSDr
6009    2484098144U,	// VROUNDSDr_Int
6010    2484101370U,	// VROUNDSSm
6011    2484101370U,	// VROUNDSSr
6012    2484101370U,	// VROUNDSSr_Int
6013    3088077350U,	// VROUNDYPDm
6014    2484097574U,	// VROUNDYPDr
6015    3088080577U,	// VROUNDYPSm
6016    2484100801U,	// VROUNDYPSr
6017    974144150U,	// VRSQRT14PDZm
6018    336609942U,	// VRSQRT14PDZr
6019    974145854U,	// VRSQRT14PSZm
6020    336611646U,	// VRSQRT14PSZr
6021    2484093997U,	// VRSQRT14SDrm
6022    2484093997U,	// VRSQRT14SDrr
6023    2484095600U,	// VRSQRT14SSrm
6024    2484095600U,	// VRSQRT14SSrr
6025    974144174U,	// VRSQRT28PDZm
6026    336609966U,	// VRSQRT28PDZr
6027    336609966U,	// VRSQRT28PDZrb
6028    974145878U,	// VRSQRT28PSZm
6029    336611670U,	// VRSQRT28PSZr
6030    336611670U,	// VRSQRT28PSZrb
6031    2484094021U,	// VRSQRT28SDrm
6032    2484094021U,	// VRSQRT28SDrr
6033    2484094021U,	// VRSQRT28SDrrb
6034    2484095624U,	// VRSQRT28SSrm
6035    2484095624U,	// VRSQRT28SSrr
6036    2484095624U,	// VRSQRT28SSrrb
6037    940597159U,	// VRSQRTPSYm
6038    940597159U,	// VRSQRTPSYm_Int
6039    336617383U,	// VRSQRTPSYr
6040    336617383U,	// VRSQRTPSYr_Int
6041    537943975U,	// VRSQRTPSm
6042    537943975U,	// VRSQRTPSm_Int
6043    336617383U,	// VRSQRTPSr
6044    336617383U,	// VRSQRTPSr_Int
6045    2484101440U,	// VRSQRTSSm
6046    2484101440U,	// VRSQRTSSm_Int
6047    2484101440U,	// VRSQRTSSr
6048    705242U,	// VSCATTERDPDZmr
6049    690562U,	// VSCATTERDPSZmr
6050    321941652U,	// VSCATTERPF0DPDm
6051    321941792U,	// VSCATTERPF0DPSm
6052    389050586U,	// VSCATTERPF0QPDm
6053    389050726U,	// VSCATTERPF0QPSm
6054    321941687U,	// VSCATTERPF1DPDm
6055    321941827U,	// VSCATTERPF1DPSm
6056    389050621U,	// VSCATTERPF1QPDm
6057    389050761U,	// VSCATTERPF1QPSm
6058    705354U,	// VSCATTERQPDZmr
6059    707058U,	// VSCATTERQPSZmr
6060    2484097596U,	// VSHUFPDYrmi
6061    2484097596U,	// VSHUFPDYrri
6062    2484093686U,	// VSHUFPDZrmi
6063    2484093686U,	// VSHUFPDZrri
6064    2484097596U,	// VSHUFPDrmi
6065    2484097596U,	// VSHUFPDrri
6066    2484100823U,	// VSHUFPSYrmi
6067    2484100823U,	// VSHUFPSYrri
6068    2484095390U,	// VSHUFPSZrmi
6069    2484095390U,	// VSHUFPSZrri
6070    2484100823U,	// VSHUFPSrmi
6071    2484100823U,	// VSHUFPSrri
6072    940593889U,	// VSQRTPDYm
6073    336614113U,	// VSQRTPDYr
6074    974148321U,	// VSQRTPDZrm
6075    336614113U,	// VSQRTPDZrr
6076    537940705U,	// VSQRTPDm
6077    336614113U,	// VSQRTPDr
6078    940597169U,	// VSQRTPSYm
6079    336617393U,	// VSQRTPSYr
6080    974151601U,	// VSQRTPSZrm
6081    336617393U,	// VSQRTPSZrr
6082    537943985U,	// VSQRTPSm
6083    336617393U,	// VSQRTPSr
6084    2484098222U,	// VSQRTSDZm
6085    2484098222U,	// VSQRTSDZm_Int
6086    2484098222U,	// VSQRTSDZr
6087    2484098222U,	// VSQRTSDZr_Int
6088    2484098222U,	// VSQRTSDm
6089    2484098222U,	// VSQRTSDm_Int
6090    2484098222U,	// VSQRTSDr
6091    2484101450U,	// VSQRTSSZm
6092    2484101450U,	// VSQRTSSZm_Int
6093    2484101450U,	// VSQRTSSZr
6094    2484101450U,	// VSQRTSSZr_Int
6095    2484101450U,	// VSQRTSSm
6096    2484101450U,	// VSQRTSSm_Int
6097    2484101450U,	// VSQRTSSr
6098    72845U,	// VSTMXCSR
6099    2484097497U,	// VSUBPDYrm
6100    2484097497U,	// VSUBPDYrr
6101    2484093627U,	// VSUBPDZrm
6102    2484093627U,	// VSUBPDZrmb
6103    352338619U,	// VSUBPDZrmbk
6104    2499822267U,	// VSUBPDZrmbkz
6105    352342489U,	// VSUBPDZrmk
6106    2499826137U,	// VSUBPDZrmkz
6107    2484093627U,	// VSUBPDZrr
6108    352338619U,	// VSUBPDZrrk
6109    2499822267U,	// VSUBPDZrrkz
6110    2484097497U,	// VSUBPDrm
6111    2484097497U,	// VSUBPDrr
6112    2484100724U,	// VSUBPSYrm
6113    2484100724U,	// VSUBPSYrr
6114    2484095331U,	// VSUBPSZrm
6115    2484095331U,	// VSUBPSZrmb
6116    352340323U,	// VSUBPSZrmbk
6117    2499823971U,	// VSUBPSZrmbkz
6118    352345716U,	// VSUBPSZrmk
6119    2499829364U,	// VSUBPSZrmkz
6120    2484095331U,	// VSUBPSZrr
6121    352340323U,	// VSUBPSZrrk
6122    2499823971U,	// VSUBPSZrrkz
6123    2484100724U,	// VSUBPSrm
6124    2484100724U,	// VSUBPSrr
6125    2484098107U,	// VSUBSDZrm
6126    2484098107U,	// VSUBSDZrr
6127    2484098107U,	// VSUBSDrm
6128    2484098107U,	// VSUBSDrm_Int
6129    2484098107U,	// VSUBSDrr
6130    2484098107U,	// VSUBSDrr_Int
6131    2484101333U,	// VSUBSSZrm
6132    2484101333U,	// VSUBSSZrr
6133    2484101333U,	// VSUBSSrm
6134    2484101333U,	// VSUBSSrm_Int
6135    2484101333U,	// VSUBSSrr
6136    2484101333U,	// VSUBSSrr_Int
6137    940593898U,	// VTESTPDYrm
6138    336614122U,	// VTESTPDYrr
6139    537940714U,	// VTESTPDrm
6140    336614122U,	// VTESTPDrr
6141    940597178U,	// VTESTPSYrm
6142    336617402U,	// VTESTPSYrr
6143    537943994U,	// VTESTPSrm
6144    336617402U,	// VTESTPSrr
6145    571495530U,	// VUCOMISDZrm
6146    336614506U,	// VUCOMISDZrr
6147    571495530U,	// VUCOMISDrm
6148    336614506U,	// VUCOMISDrr
6149    605053188U,	// VUCOMISSZrm
6150    336617732U,	// VUCOMISSZrr
6151    605053188U,	// VUCOMISSrm
6152    336617732U,	// VUCOMISSrr
6153    2484097605U,	// VUNPCKHPDYrm
6154    2484097605U,	// VUNPCKHPDYrr
6155    2484097605U,	// VUNPCKHPDZrm
6156    2484097605U,	// VUNPCKHPDZrr
6157    2484097605U,	// VUNPCKHPDrm
6158    2484097605U,	// VUNPCKHPDrr
6159    2484100832U,	// VUNPCKHPSYrm
6160    2484100832U,	// VUNPCKHPSYrr
6161    2484100832U,	// VUNPCKHPSZrm
6162    2484100832U,	// VUNPCKHPSZrr
6163    2484100832U,	// VUNPCKHPSrm
6164    2484100832U,	// VUNPCKHPSrr
6165    2484097647U,	// VUNPCKLPDYrm
6166    2484097647U,	// VUNPCKLPDYrr
6167    2484097647U,	// VUNPCKLPDZrm
6168    2484097647U,	// VUNPCKLPDZrr
6169    2484097647U,	// VUNPCKLPDrm
6170    2484097647U,	// VUNPCKLPDrr
6171    2484100894U,	// VUNPCKLPSYrm
6172    2484100894U,	// VUNPCKLPSYrr
6173    2484100894U,	// VUNPCKLPSZrm
6174    2484100894U,	// VUNPCKLPSZrr
6175    2484100894U,	// VUNPCKLPSrm
6176    2484100894U,	// VUNPCKLPSrr
6177    2484097743U,	// VXORPDYrm
6178    2484097743U,	// VXORPDYrr
6179    2484097743U,	// VXORPDrm
6180    2484097743U,	// VXORPDrr
6181    2484100990U,	// VXORPSYrm
6182    2484100990U,	// VXORPSYrr
6183    2484100990U,	// VXORPSrm
6184    2484100990U,	// VXORPSrr
6185    11661U,	// VZEROALL
6186    11861U,	// VZEROUPPER
6187    0U,	// V_SET0
6188    0U,	// V_SETALLONES
6189    153629U,	// W64ALLOCA
6190    12122U,	// WAIT
6191    11389U,	// WBINVD
6192    11718U,	// WIN_ALLOCA
6193    11594U,	// WIN_FTOL_32
6194    11594U,	// WIN_FTOL_64
6195    22150U,	// WRFSBASE
6196    22150U,	// WRFSBASE64
6197    22170U,	// WRGSBASE
6198    22170U,	// WRGSBASE64
6199    11895U,	// WRMSR
6200    25084U,	// XABORT
6201    11460U,	// XACQUIRE_PREFIX
6202    1085319U,	// XADD16rm
6203    336613255U,	// XADD16rr
6204    1118087U,	// XADD32rm
6205    336613255U,	// XADD32rr
6206    1134471U,	// XADD64rm
6207    336613255U,	// XADD64rr
6208    1150855U,	// XADD8rm
6209    336613255U,	// XADD8rr
6210    11129U,	// XBEGIN
6211    153784U,	// XBEGIN_4
6212    26314U,	// XCHG16ar
6213    464616U,	// XCHG16rm
6214    726760U,	// XCHG16rr
6215    26477U,	// XCHG32ar
6216    26477U,	// XCHG32ar64
6217    481000U,	// XCHG32rm
6218    726760U,	// XCHG32rr
6219    26601U,	// XCHG64ar
6220    497384U,	// XCHG64rm
6221    726760U,	// XCHG64rr
6222    513768U,	// XCHG8rm
6223    726760U,	// XCHG8rr
6224    22289U,	// XCH_F
6225    11278U,	// XCRYPTCBC
6226    11222U,	// XCRYPTCFB
6227    11901U,	// XCRYPTCTR
6228    11212U,	// XCRYPTECB
6229    11232U,	// XCRYPTOFB
6230    11354U,	// XEND
6231    12177U,	// XGETBV
6232    11262U,	// XLAT
6233    26341U,	// XOR16i16
6234    1088627U,	// XOR16mi
6235    1088627U,	// XOR16mi8
6236    1088627U,	// XOR16mr
6237    34659443U,	// XOR16ri
6238    34659443U,	// XOR16ri8
6239    68213875U,	// XOR16rm
6240    34659443U,	// XOR16rr
6241    34626675U,	// XOR16rr_REV
6242    26507U,	// XOR32i32
6243    1121395U,	// XOR32mi
6244    1121395U,	// XOR32mi8
6245    1121395U,	// XOR32mr
6246    34659443U,	// XOR32ri
6247    34659443U,	// XOR32ri8
6248    101768307U,	// XOR32rm
6249    34659443U,	// XOR32rr
6250    34626675U,	// XOR32rr_REV
6251    26646U,	// XOR64i32
6252    1137779U,	// XOR64mi32
6253    1137779U,	// XOR64mi8
6254    1137779U,	// XOR64mr
6255    34659443U,	// XOR64ri32
6256    34659443U,	// XOR64ri8
6257    135322739U,	// XOR64rm
6258    34659443U,	// XOR64rr
6259    34626675U,	// XOR64rr_REV
6260    26229U,	// XOR8i8
6261    1154163U,	// XOR8mi
6262    1154163U,	// XOR8mr
6263    34659443U,	// XOR8ri
6264    34659443U,	// XOR8ri8
6265    168877171U,	// XOR8rm
6266    34659443U,	// XOR8rr
6267    34626675U,	// XOR8rr_REV
6268    202396368U,	// XORPDrm
6269    34624208U,	// XORPDrr
6270    202399615U,	// XORPSrm
6271    34627455U,	// XORPSrr
6272    11476U,	// XRELEASE_PREFIX
6273    285801U,	// XRSTOR
6274    281616U,	// XRSTOR64
6275    284359U,	// XSAVE
6276    281606U,	// XSAVE64
6277    287218U,	// XSAVEOPT
6278    281626U,	// XSAVEOPT64
6279    12184U,	// XSETBV
6280    10856U,	// XSHA1
6281    11091U,	// XSHA256
6282    11469U,	// XSTORE
6283    12160U,	// XTEST
6284    0U
6285  };
6286
6287  static const uint32_t OpInfo2[] = {
6288    0U,	// PHI
6289    0U,	// INLINEASM
6290    0U,	// CFI_INSTRUCTION
6291    0U,	// EH_LABEL
6292    0U,	// GC_LABEL
6293    0U,	// KILL
6294    0U,	// EXTRACT_SUBREG
6295    0U,	// INSERT_SUBREG
6296    0U,	// IMPLICIT_DEF
6297    0U,	// SUBREG_TO_REG
6298    0U,	// COPY_TO_REGCLASS
6299    0U,	// DBG_VALUE
6300    0U,	// REG_SEQUENCE
6301    0U,	// COPY
6302    0U,	// BUNDLE
6303    0U,	// LIFETIME_START
6304    0U,	// LIFETIME_END
6305    0U,	// STACKMAP
6306    0U,	// PATCHPOINT
6307    0U,	// LOAD_STACK_GUARD
6308    0U,	// AAA
6309    0U,	// AAD8i8
6310    0U,	// AAM8i8
6311    0U,	// AAS
6312    0U,	// ABS_F
6313    0U,	// ABS_Fp32
6314    0U,	// ABS_Fp64
6315    0U,	// ABS_Fp80
6316    0U,	// ACQUIRE_MOV16rm
6317    0U,	// ACQUIRE_MOV32rm
6318    0U,	// ACQUIRE_MOV64rm
6319    0U,	// ACQUIRE_MOV8rm
6320    0U,	// ADC16i16
6321    0U,	// ADC16mi
6322    0U,	// ADC16mi8
6323    0U,	// ADC16mr
6324    0U,	// ADC16ri
6325    0U,	// ADC16ri8
6326    0U,	// ADC16rm
6327    0U,	// ADC16rr
6328    0U,	// ADC16rr_REV
6329    0U,	// ADC32i32
6330    0U,	// ADC32mi
6331    0U,	// ADC32mi8
6332    0U,	// ADC32mr
6333    0U,	// ADC32ri
6334    0U,	// ADC32ri8
6335    0U,	// ADC32rm
6336    0U,	// ADC32rr
6337    0U,	// ADC32rr_REV
6338    0U,	// ADC64i32
6339    0U,	// ADC64mi32
6340    0U,	// ADC64mi8
6341    0U,	// ADC64mr
6342    0U,	// ADC64ri32
6343    0U,	// ADC64ri8
6344    0U,	// ADC64rm
6345    0U,	// ADC64rr
6346    0U,	// ADC64rr_REV
6347    0U,	// ADC8i8
6348    0U,	// ADC8mi
6349    0U,	// ADC8mr
6350    0U,	// ADC8ri
6351    0U,	// ADC8rm
6352    0U,	// ADC8rr
6353    0U,	// ADC8rr_REV
6354    0U,	// ADCX32rm
6355    0U,	// ADCX32rr
6356    0U,	// ADCX64rm
6357    0U,	// ADCX64rr
6358    0U,	// ADD16i16
6359    0U,	// ADD16mi
6360    0U,	// ADD16mi8
6361    0U,	// ADD16mr
6362    0U,	// ADD16ri
6363    0U,	// ADD16ri8
6364    0U,	// ADD16ri8_DB
6365    0U,	// ADD16ri_DB
6366    0U,	// ADD16rm
6367    0U,	// ADD16rr
6368    0U,	// ADD16rr_DB
6369    0U,	// ADD16rr_REV
6370    0U,	// ADD32i32
6371    0U,	// ADD32mi
6372    0U,	// ADD32mi8
6373    0U,	// ADD32mr
6374    0U,	// ADD32ri
6375    0U,	// ADD32ri8
6376    0U,	// ADD32ri8_DB
6377    0U,	// ADD32ri_DB
6378    0U,	// ADD32rm
6379    0U,	// ADD32rr
6380    0U,	// ADD32rr_DB
6381    0U,	// ADD32rr_REV
6382    0U,	// ADD64i32
6383    0U,	// ADD64mi32
6384    0U,	// ADD64mi8
6385    0U,	// ADD64mr
6386    0U,	// ADD64ri32
6387    0U,	// ADD64ri32_DB
6388    0U,	// ADD64ri8
6389    0U,	// ADD64ri8_DB
6390    0U,	// ADD64rm
6391    0U,	// ADD64rr
6392    0U,	// ADD64rr_DB
6393    0U,	// ADD64rr_REV
6394    0U,	// ADD8i8
6395    0U,	// ADD8mi
6396    0U,	// ADD8mr
6397    0U,	// ADD8ri
6398    0U,	// ADD8ri8
6399    0U,	// ADD8rm
6400    0U,	// ADD8rr
6401    0U,	// ADD8rr_REV
6402    0U,	// ADDPDrm
6403    0U,	// ADDPDrr
6404    0U,	// ADDPSrm
6405    0U,	// ADDPSrr
6406    0U,	// ADDSDrm
6407    0U,	// ADDSDrm_Int
6408    0U,	// ADDSDrr
6409    0U,	// ADDSDrr_Int
6410    0U,	// ADDSSrm
6411    0U,	// ADDSSrm_Int
6412    0U,	// ADDSSrr
6413    0U,	// ADDSSrr_Int
6414    0U,	// ADDSUBPDrm
6415    0U,	// ADDSUBPDrr
6416    0U,	// ADDSUBPSrm
6417    0U,	// ADDSUBPSrr
6418    0U,	// ADD_F32m
6419    0U,	// ADD_F64m
6420    0U,	// ADD_FI16m
6421    0U,	// ADD_FI32m
6422    0U,	// ADD_FPrST0
6423    0U,	// ADD_FST0r
6424    0U,	// ADD_Fp32
6425    0U,	// ADD_Fp32m
6426    0U,	// ADD_Fp64
6427    0U,	// ADD_Fp64m
6428    0U,	// ADD_Fp64m32
6429    0U,	// ADD_Fp80
6430    0U,	// ADD_Fp80m32
6431    0U,	// ADD_Fp80m64
6432    0U,	// ADD_FpI16m32
6433    0U,	// ADD_FpI16m64
6434    0U,	// ADD_FpI16m80
6435    0U,	// ADD_FpI32m32
6436    0U,	// ADD_FpI32m64
6437    0U,	// ADD_FpI32m80
6438    0U,	// ADD_FrST0
6439    0U,	// ADJCALLSTACKDOWN32
6440    0U,	// ADJCALLSTACKDOWN64
6441    0U,	// ADJCALLSTACKUP32
6442    0U,	// ADJCALLSTACKUP64
6443    0U,	// ADOX32rm
6444    0U,	// ADOX32rr
6445    0U,	// ADOX64rm
6446    0U,	// ADOX64rr
6447    0U,	// AESDECLASTrm
6448    0U,	// AESDECLASTrr
6449    0U,	// AESDECrm
6450    0U,	// AESDECrr
6451    0U,	// AESENCLASTrm
6452    0U,	// AESENCLASTrr
6453    0U,	// AESENCrm
6454    0U,	// AESENCrr
6455    0U,	// AESIMCrm
6456    0U,	// AESIMCrr
6457    0U,	// AESKEYGENASSIST128rm
6458    8U,	// AESKEYGENASSIST128rr
6459    0U,	// AND16i16
6460    0U,	// AND16mi
6461    0U,	// AND16mi8
6462    0U,	// AND16mr
6463    0U,	// AND16ri
6464    0U,	// AND16ri8
6465    0U,	// AND16rm
6466    0U,	// AND16rr
6467    0U,	// AND16rr_REV
6468    0U,	// AND32i32
6469    0U,	// AND32mi
6470    0U,	// AND32mi8
6471    0U,	// AND32mr
6472    0U,	// AND32ri
6473    0U,	// AND32ri8
6474    0U,	// AND32rm
6475    0U,	// AND32rr
6476    0U,	// AND32rr_REV
6477    0U,	// AND64i32
6478    0U,	// AND64mi32
6479    0U,	// AND64mi8
6480    0U,	// AND64mr
6481    0U,	// AND64ri32
6482    0U,	// AND64ri8
6483    0U,	// AND64rm
6484    0U,	// AND64rr
6485    0U,	// AND64rr_REV
6486    0U,	// AND8i8
6487    0U,	// AND8mi
6488    0U,	// AND8mr
6489    0U,	// AND8ri
6490    0U,	// AND8ri8
6491    0U,	// AND8rm
6492    0U,	// AND8rr
6493    0U,	// AND8rr_REV
6494    16U,	// ANDN32rm
6495    8U,	// ANDN32rr
6496    24U,	// ANDN64rm
6497    8U,	// ANDN64rr
6498    0U,	// ANDNPDrm
6499    0U,	// ANDNPDrr
6500    0U,	// ANDNPSrm
6501    0U,	// ANDNPSrr
6502    0U,	// ANDPDrm
6503    0U,	// ANDPDrr
6504    0U,	// ANDPSrm
6505    0U,	// ANDPSrr
6506    0U,	// ARPL16mr
6507    0U,	// ARPL16rr
6508    0U,	// AVX2_SETALLONES
6509    0U,	// AVX512_512_SET0
6510    0U,	// AVX_SET0
6511    0U,	// BEXTR32rm
6512    8U,	// BEXTR32rr
6513    0U,	// BEXTR64rm
6514    8U,	// BEXTR64rr
6515    0U,	// BEXTRI32mi
6516    8U,	// BEXTRI32ri
6517    0U,	// BEXTRI64mi
6518    8U,	// BEXTRI64ri
6519    0U,	// BLCFILL32rm
6520    0U,	// BLCFILL32rr
6521    0U,	// BLCFILL64rm
6522    0U,	// BLCFILL64rr
6523    0U,	// BLCI32rm
6524    0U,	// BLCI32rr
6525    0U,	// BLCI64rm
6526    0U,	// BLCI64rr
6527    0U,	// BLCIC32rm
6528    0U,	// BLCIC32rr
6529    0U,	// BLCIC64rm
6530    0U,	// BLCIC64rr
6531    0U,	// BLCMSK32rm
6532    0U,	// BLCMSK32rr
6533    0U,	// BLCMSK64rm
6534    0U,	// BLCMSK64rr
6535    0U,	// BLCS32rm
6536    0U,	// BLCS32rr
6537    0U,	// BLCS64rm
6538    0U,	// BLCS64rr
6539    32U,	// BLENDPDrmi
6540    40U,	// BLENDPDrri
6541    32U,	// BLENDPSrmi
6542    40U,	// BLENDPSrri
6543    0U,	// BLENDVPDrm0
6544    0U,	// BLENDVPDrr0
6545    0U,	// BLENDVPSrm0
6546    0U,	// BLENDVPSrr0
6547    0U,	// BLSFILL32rm
6548    0U,	// BLSFILL32rr
6549    0U,	// BLSFILL64rm
6550    0U,	// BLSFILL64rr
6551    0U,	// BLSI32rm
6552    0U,	// BLSI32rr
6553    0U,	// BLSI64rm
6554    0U,	// BLSI64rr
6555    0U,	// BLSIC32rm
6556    0U,	// BLSIC32rr
6557    0U,	// BLSIC64rm
6558    0U,	// BLSIC64rr
6559    0U,	// BLSMSK32rm
6560    0U,	// BLSMSK32rr
6561    0U,	// BLSMSK64rm
6562    0U,	// BLSMSK64rr
6563    0U,	// BLSR32rm
6564    0U,	// BLSR32rr
6565    0U,	// BLSR64rm
6566    0U,	// BLSR64rr
6567    0U,	// BOUNDS16rm
6568    0U,	// BOUNDS32rm
6569    0U,	// BSF16rm
6570    0U,	// BSF16rr
6571    0U,	// BSF32rm
6572    0U,	// BSF32rr
6573    0U,	// BSF64rm
6574    0U,	// BSF64rr
6575    0U,	// BSR16rm
6576    0U,	// BSR16rr
6577    0U,	// BSR32rm
6578    0U,	// BSR32rr
6579    0U,	// BSR64rm
6580    0U,	// BSR64rr
6581    0U,	// BSWAP32r
6582    0U,	// BSWAP64r
6583    0U,	// BT16mi8
6584    0U,	// BT16mr
6585    0U,	// BT16ri8
6586    0U,	// BT16rr
6587    0U,	// BT32mi8
6588    0U,	// BT32mr
6589    0U,	// BT32ri8
6590    0U,	// BT32rr
6591    0U,	// BT64mi8
6592    0U,	// BT64mr
6593    0U,	// BT64ri8
6594    0U,	// BT64rr
6595    0U,	// BTC16mi8
6596    0U,	// BTC16mr
6597    0U,	// BTC16ri8
6598    0U,	// BTC16rr
6599    0U,	// BTC32mi8
6600    0U,	// BTC32mr
6601    0U,	// BTC32ri8
6602    0U,	// BTC32rr
6603    0U,	// BTC64mi8
6604    0U,	// BTC64mr
6605    0U,	// BTC64ri8
6606    0U,	// BTC64rr
6607    0U,	// BTR16mi8
6608    0U,	// BTR16mr
6609    0U,	// BTR16ri8
6610    0U,	// BTR16rr
6611    0U,	// BTR32mi8
6612    0U,	// BTR32mr
6613    0U,	// BTR32ri8
6614    0U,	// BTR32rr
6615    0U,	// BTR64mi8
6616    0U,	// BTR64mr
6617    0U,	// BTR64ri8
6618    0U,	// BTR64rr
6619    0U,	// BTS16mi8
6620    0U,	// BTS16mr
6621    0U,	// BTS16ri8
6622    0U,	// BTS16rr
6623    0U,	// BTS32mi8
6624    0U,	// BTS32mr
6625    0U,	// BTS32ri8
6626    0U,	// BTS32rr
6627    0U,	// BTS64mi8
6628    0U,	// BTS64mr
6629    0U,	// BTS64ri8
6630    0U,	// BTS64rr
6631    0U,	// BZHI32rm
6632    8U,	// BZHI32rr
6633    0U,	// BZHI64rm
6634    8U,	// BZHI64rr
6635    0U,	// CALL16m
6636    0U,	// CALL16r
6637    0U,	// CALL32m
6638    0U,	// CALL32r
6639    0U,	// CALL64m
6640    0U,	// CALL64pcrel32
6641    0U,	// CALL64r
6642    0U,	// CALLpcrel16
6643    0U,	// CALLpcrel32
6644    0U,	// CBW
6645    0U,	// CDQ
6646    0U,	// CDQE
6647    0U,	// CHS_F
6648    0U,	// CHS_Fp32
6649    0U,	// CHS_Fp64
6650    0U,	// CHS_Fp80
6651    0U,	// CLAC
6652    0U,	// CLC
6653    0U,	// CLD
6654    0U,	// CLFLUSH
6655    0U,	// CLGI
6656    0U,	// CLI
6657    0U,	// CLTS
6658    0U,	// CMC
6659    0U,	// CMOVA16rm
6660    0U,	// CMOVA16rr
6661    0U,	// CMOVA32rm
6662    0U,	// CMOVA32rr
6663    0U,	// CMOVA64rm
6664    0U,	// CMOVA64rr
6665    0U,	// CMOVAE16rm
6666    0U,	// CMOVAE16rr
6667    0U,	// CMOVAE32rm
6668    0U,	// CMOVAE32rr
6669    0U,	// CMOVAE64rm
6670    0U,	// CMOVAE64rr
6671    0U,	// CMOVB16rm
6672    0U,	// CMOVB16rr
6673    0U,	// CMOVB32rm
6674    0U,	// CMOVB32rr
6675    0U,	// CMOVB64rm
6676    0U,	// CMOVB64rr
6677    0U,	// CMOVBE16rm
6678    0U,	// CMOVBE16rr
6679    0U,	// CMOVBE32rm
6680    0U,	// CMOVBE32rr
6681    0U,	// CMOVBE64rm
6682    0U,	// CMOVBE64rr
6683    0U,	// CMOVBE_F
6684    0U,	// CMOVBE_Fp32
6685    0U,	// CMOVBE_Fp64
6686    0U,	// CMOVBE_Fp80
6687    0U,	// CMOVB_F
6688    0U,	// CMOVB_Fp32
6689    0U,	// CMOVB_Fp64
6690    0U,	// CMOVB_Fp80
6691    0U,	// CMOVE16rm
6692    0U,	// CMOVE16rr
6693    0U,	// CMOVE32rm
6694    0U,	// CMOVE32rr
6695    0U,	// CMOVE64rm
6696    0U,	// CMOVE64rr
6697    0U,	// CMOVE_F
6698    0U,	// CMOVE_Fp32
6699    0U,	// CMOVE_Fp64
6700    0U,	// CMOVE_Fp80
6701    0U,	// CMOVG16rm
6702    0U,	// CMOVG16rr
6703    0U,	// CMOVG32rm
6704    0U,	// CMOVG32rr
6705    0U,	// CMOVG64rm
6706    0U,	// CMOVG64rr
6707    0U,	// CMOVGE16rm
6708    0U,	// CMOVGE16rr
6709    0U,	// CMOVGE32rm
6710    0U,	// CMOVGE32rr
6711    0U,	// CMOVGE64rm
6712    0U,	// CMOVGE64rr
6713    0U,	// CMOVL16rm
6714    0U,	// CMOVL16rr
6715    0U,	// CMOVL32rm
6716    0U,	// CMOVL32rr
6717    0U,	// CMOVL64rm
6718    0U,	// CMOVL64rr
6719    0U,	// CMOVLE16rm
6720    0U,	// CMOVLE16rr
6721    0U,	// CMOVLE32rm
6722    0U,	// CMOVLE32rr
6723    0U,	// CMOVLE64rm
6724    0U,	// CMOVLE64rr
6725    0U,	// CMOVNBE_F
6726    0U,	// CMOVNBE_Fp32
6727    0U,	// CMOVNBE_Fp64
6728    0U,	// CMOVNBE_Fp80
6729    0U,	// CMOVNB_F
6730    0U,	// CMOVNB_Fp32
6731    0U,	// CMOVNB_Fp64
6732    0U,	// CMOVNB_Fp80
6733    0U,	// CMOVNE16rm
6734    0U,	// CMOVNE16rr
6735    0U,	// CMOVNE32rm
6736    0U,	// CMOVNE32rr
6737    0U,	// CMOVNE64rm
6738    0U,	// CMOVNE64rr
6739    0U,	// CMOVNE_F
6740    0U,	// CMOVNE_Fp32
6741    0U,	// CMOVNE_Fp64
6742    0U,	// CMOVNE_Fp80
6743    0U,	// CMOVNO16rm
6744    0U,	// CMOVNO16rr
6745    0U,	// CMOVNO32rm
6746    0U,	// CMOVNO32rr
6747    0U,	// CMOVNO64rm
6748    0U,	// CMOVNO64rr
6749    0U,	// CMOVNP16rm
6750    0U,	// CMOVNP16rr
6751    0U,	// CMOVNP32rm
6752    0U,	// CMOVNP32rr
6753    0U,	// CMOVNP64rm
6754    0U,	// CMOVNP64rr
6755    0U,	// CMOVNP_F
6756    0U,	// CMOVNP_Fp32
6757    0U,	// CMOVNP_Fp64
6758    0U,	// CMOVNP_Fp80
6759    0U,	// CMOVNS16rm
6760    0U,	// CMOVNS16rr
6761    0U,	// CMOVNS32rm
6762    0U,	// CMOVNS32rr
6763    0U,	// CMOVNS64rm
6764    0U,	// CMOVNS64rr
6765    0U,	// CMOVO16rm
6766    0U,	// CMOVO16rr
6767    0U,	// CMOVO32rm
6768    0U,	// CMOVO32rr
6769    0U,	// CMOVO64rm
6770    0U,	// CMOVO64rr
6771    0U,	// CMOVP16rm
6772    0U,	// CMOVP16rr
6773    0U,	// CMOVP32rm
6774    0U,	// CMOVP32rr
6775    0U,	// CMOVP64rm
6776    0U,	// CMOVP64rr
6777    0U,	// CMOVP_F
6778    0U,	// CMOVP_Fp32
6779    0U,	// CMOVP_Fp64
6780    0U,	// CMOVP_Fp80
6781    0U,	// CMOVS16rm
6782    0U,	// CMOVS16rr
6783    0U,	// CMOVS32rm
6784    0U,	// CMOVS32rr
6785    0U,	// CMOVS64rm
6786    0U,	// CMOVS64rr
6787    0U,	// CMOV_FR32
6788    0U,	// CMOV_FR64
6789    0U,	// CMOV_GR16
6790    0U,	// CMOV_GR32
6791    0U,	// CMOV_GR8
6792    0U,	// CMOV_RFP32
6793    0U,	// CMOV_RFP64
6794    0U,	// CMOV_RFP80
6795    0U,	// CMOV_V16F32
6796    0U,	// CMOV_V2F64
6797    0U,	// CMOV_V2I64
6798    0U,	// CMOV_V4F32
6799    0U,	// CMOV_V4F64
6800    0U,	// CMOV_V4I64
6801    0U,	// CMOV_V8F32
6802    0U,	// CMOV_V8F64
6803    0U,	// CMOV_V8I64
6804    0U,	// CMP16i16
6805    0U,	// CMP16mi
6806    0U,	// CMP16mi8
6807    0U,	// CMP16mr
6808    0U,	// CMP16ri
6809    0U,	// CMP16ri8
6810    0U,	// CMP16rm
6811    0U,	// CMP16rr
6812    0U,	// CMP16rr_REV
6813    0U,	// CMP32i32
6814    0U,	// CMP32mi
6815    0U,	// CMP32mi8
6816    0U,	// CMP32mr
6817    0U,	// CMP32ri
6818    0U,	// CMP32ri8
6819    0U,	// CMP32rm
6820    0U,	// CMP32rr
6821    0U,	// CMP32rr_REV
6822    0U,	// CMP64i32
6823    0U,	// CMP64mi32
6824    0U,	// CMP64mi8
6825    0U,	// CMP64mr
6826    0U,	// CMP64ri32
6827    0U,	// CMP64ri8
6828    0U,	// CMP64rm
6829    0U,	// CMP64rr
6830    0U,	// CMP64rr_REV
6831    0U,	// CMP8i8
6832    0U,	// CMP8mi
6833    0U,	// CMP8mr
6834    0U,	// CMP8ri
6835    0U,	// CMP8rm
6836    0U,	// CMP8rr
6837    0U,	// CMP8rr_REV
6838    0U,	// CMPPDrmi
6839    32U,	// CMPPDrmi_alt
6840    0U,	// CMPPDrri
6841    40U,	// CMPPDrri_alt
6842    0U,	// CMPPSrmi
6843    32U,	// CMPPSrmi_alt
6844    0U,	// CMPPSrri
6845    40U,	// CMPPSrri_alt
6846    0U,	// CMPSB
6847    0U,	// CMPSDrm
6848    32U,	// CMPSDrm_alt
6849    0U,	// CMPSDrr
6850    40U,	// CMPSDrr_alt
6851    0U,	// CMPSL
6852    0U,	// CMPSQ
6853    0U,	// CMPSSrm
6854    32U,	// CMPSSrm_alt
6855    0U,	// CMPSSrr
6856    40U,	// CMPSSrr_alt
6857    0U,	// CMPSW
6858    0U,	// CMPXCHG16B
6859    0U,	// CMPXCHG16rm
6860    0U,	// CMPXCHG16rr
6861    0U,	// CMPXCHG32rm
6862    0U,	// CMPXCHG32rr
6863    0U,	// CMPXCHG64rm
6864    0U,	// CMPXCHG64rr
6865    0U,	// CMPXCHG8B
6866    0U,	// CMPXCHG8rm
6867    0U,	// CMPXCHG8rr
6868    0U,	// COMISDrm
6869    0U,	// COMISDrr
6870    0U,	// COMISSrm
6871    0U,	// COMISSrr
6872    0U,	// COMP_FST0r
6873    0U,	// COM_FIPr
6874    0U,	// COM_FIr
6875    0U,	// COM_FST0r
6876    0U,	// COS_F
6877    0U,	// COS_Fp32
6878    0U,	// COS_Fp64
6879    0U,	// COS_Fp80
6880    0U,	// CPUID32
6881    0U,	// CPUID64
6882    0U,	// CQO
6883    0U,	// CRC32r32m16
6884    0U,	// CRC32r32m32
6885    0U,	// CRC32r32m8
6886    0U,	// CRC32r32r16
6887    0U,	// CRC32r32r32
6888    0U,	// CRC32r32r8
6889    0U,	// CRC32r64m64
6890    0U,	// CRC32r64m8
6891    0U,	// CRC32r64r64
6892    0U,	// CRC32r64r8
6893    0U,	// CVTDQ2PDrm
6894    0U,	// CVTDQ2PDrr
6895    0U,	// CVTDQ2PSrm
6896    0U,	// CVTDQ2PSrr
6897    0U,	// CVTPD2DQrm
6898    0U,	// CVTPD2DQrr
6899    0U,	// CVTPD2PSrm
6900    0U,	// CVTPD2PSrr
6901    0U,	// CVTPS2DQrm
6902    0U,	// CVTPS2DQrr
6903    0U,	// CVTPS2PDrm
6904    0U,	// CVTPS2PDrr
6905    0U,	// CVTSD2SI64rm
6906    0U,	// CVTSD2SI64rr
6907    0U,	// CVTSD2SIrm
6908    0U,	// CVTSD2SIrr
6909    0U,	// CVTSD2SSrm
6910    0U,	// CVTSD2SSrr
6911    0U,	// CVTSI2SD64rm
6912    0U,	// CVTSI2SD64rr
6913    0U,	// CVTSI2SDrm
6914    0U,	// CVTSI2SDrr
6915    0U,	// CVTSI2SS64rm
6916    0U,	// CVTSI2SS64rr
6917    0U,	// CVTSI2SSrm
6918    0U,	// CVTSI2SSrr
6919    0U,	// CVTSS2SDrm
6920    0U,	// CVTSS2SDrr
6921    0U,	// CVTSS2SI64rm
6922    0U,	// CVTSS2SI64rr
6923    0U,	// CVTSS2SIrm
6924    0U,	// CVTSS2SIrr
6925    0U,	// CVTTPD2DQrm
6926    0U,	// CVTTPD2DQrr
6927    0U,	// CVTTPS2DQrm
6928    0U,	// CVTTPS2DQrr
6929    0U,	// CVTTSD2SI64rm
6930    0U,	// CVTTSD2SI64rr
6931    0U,	// CVTTSD2SIrm
6932    0U,	// CVTTSD2SIrr
6933    0U,	// CVTTSS2SI64rm
6934    0U,	// CVTTSS2SI64rr
6935    0U,	// CVTTSS2SIrm
6936    0U,	// CVTTSS2SIrr
6937    0U,	// CWD
6938    0U,	// CWDE
6939    0U,	// DAA
6940    0U,	// DAS
6941    0U,	// DATA16_PREFIX
6942    0U,	// DEC16m
6943    0U,	// DEC16r
6944    0U,	// DEC32_16r
6945    0U,	// DEC32_32r
6946    0U,	// DEC32m
6947    0U,	// DEC32r
6948    0U,	// DEC64_16m
6949    0U,	// DEC64_16r
6950    0U,	// DEC64_32m
6951    0U,	// DEC64_32r
6952    0U,	// DEC64m
6953    0U,	// DEC64r
6954    0U,	// DEC8m
6955    0U,	// DEC8r
6956    0U,	// DIV16m
6957    0U,	// DIV16r
6958    0U,	// DIV32m
6959    0U,	// DIV32r
6960    0U,	// DIV64m
6961    0U,	// DIV64r
6962    0U,	// DIV8m
6963    0U,	// DIV8r
6964    0U,	// DIVPDrm
6965    0U,	// DIVPDrr
6966    0U,	// DIVPSrm
6967    0U,	// DIVPSrr
6968    0U,	// DIVR_F32m
6969    0U,	// DIVR_F64m
6970    0U,	// DIVR_FI16m
6971    0U,	// DIVR_FI32m
6972    0U,	// DIVR_FPrST0
6973    0U,	// DIVR_FST0r
6974    0U,	// DIVR_Fp32m
6975    0U,	// DIVR_Fp64m
6976    0U,	// DIVR_Fp64m32
6977    0U,	// DIVR_Fp80m32
6978    0U,	// DIVR_Fp80m64
6979    0U,	// DIVR_FpI16m32
6980    0U,	// DIVR_FpI16m64
6981    0U,	// DIVR_FpI16m80
6982    0U,	// DIVR_FpI32m32
6983    0U,	// DIVR_FpI32m64
6984    0U,	// DIVR_FpI32m80
6985    0U,	// DIVR_FrST0
6986    0U,	// DIVSDrm
6987    0U,	// DIVSDrm_Int
6988    0U,	// DIVSDrr
6989    0U,	// DIVSDrr_Int
6990    0U,	// DIVSSrm
6991    0U,	// DIVSSrm_Int
6992    0U,	// DIVSSrr
6993    0U,	// DIVSSrr_Int
6994    0U,	// DIV_F32m
6995    0U,	// DIV_F64m
6996    0U,	// DIV_FI16m
6997    0U,	// DIV_FI32m
6998    0U,	// DIV_FPrST0
6999    0U,	// DIV_FST0r
7000    0U,	// DIV_Fp32
7001    0U,	// DIV_Fp32m
7002    0U,	// DIV_Fp64
7003    0U,	// DIV_Fp64m
7004    0U,	// DIV_Fp64m32
7005    0U,	// DIV_Fp80
7006    0U,	// DIV_Fp80m32
7007    0U,	// DIV_Fp80m64
7008    0U,	// DIV_FpI16m32
7009    0U,	// DIV_FpI16m64
7010    0U,	// DIV_FpI16m80
7011    0U,	// DIV_FpI32m32
7012    0U,	// DIV_FpI32m64
7013    0U,	// DIV_FpI32m80
7014    0U,	// DIV_FrST0
7015    32U,	// DPPDrmi
7016    40U,	// DPPDrri
7017    32U,	// DPPSrmi
7018    40U,	// DPPSrri
7019    0U,	// EH_RETURN
7020    0U,	// EH_RETURN64
7021    0U,	// EH_SjLj_LongJmp32
7022    0U,	// EH_SjLj_LongJmp64
7023    0U,	// EH_SjLj_SetJmp32
7024    0U,	// EH_SjLj_SetJmp64
7025    0U,	// EH_SjLj_Setup
7026    0U,	// ENCLS
7027    0U,	// ENCLU
7028    0U,	// ENTER
7029    0U,	// EXTRACTPSmr
7030    8U,	// EXTRACTPSrr
7031    0U,	// EXTRQ
7032    40U,	// EXTRQI
7033    0U,	// F2XM1
7034    0U,	// FARCALL16i
7035    0U,	// FARCALL16m
7036    0U,	// FARCALL32i
7037    0U,	// FARCALL32m
7038    0U,	// FARCALL64
7039    0U,	// FARJMP16i
7040    0U,	// FARJMP16m
7041    0U,	// FARJMP32i
7042    0U,	// FARJMP32m
7043    0U,	// FARJMP64
7044    0U,	// FBLDm
7045    0U,	// FBSTPm
7046    0U,	// FCOM32m
7047    0U,	// FCOM64m
7048    0U,	// FCOMP32m
7049    0U,	// FCOMP64m
7050    0U,	// FCOMPP
7051    0U,	// FDECSTP
7052    0U,	// FEMMS
7053    0U,	// FFREE
7054    0U,	// FICOM16m
7055    0U,	// FICOM32m
7056    0U,	// FICOMP16m
7057    0U,	// FICOMP32m
7058    0U,	// FINCSTP
7059    0U,	// FLDCW16m
7060    0U,	// FLDENVm
7061    0U,	// FLDL2E
7062    0U,	// FLDL2T
7063    0U,	// FLDLG2
7064    0U,	// FLDLN2
7065    0U,	// FLDPI
7066    0U,	// FNCLEX
7067    0U,	// FNINIT
7068    0U,	// FNOP
7069    0U,	// FNSTCW16m
7070    0U,	// FNSTSW16r
7071    0U,	// FNSTSWm
7072    0U,	// FP32_TO_INT16_IN_MEM
7073    0U,	// FP32_TO_INT32_IN_MEM
7074    0U,	// FP32_TO_INT64_IN_MEM
7075    0U,	// FP64_TO_INT16_IN_MEM
7076    0U,	// FP64_TO_INT32_IN_MEM
7077    0U,	// FP64_TO_INT64_IN_MEM
7078    0U,	// FP80_TO_INT16_IN_MEM
7079    0U,	// FP80_TO_INT32_IN_MEM
7080    0U,	// FP80_TO_INT64_IN_MEM
7081    0U,	// FPATAN
7082    0U,	// FPREM
7083    0U,	// FPREM1
7084    0U,	// FPTAN
7085    0U,	// FRNDINT
7086    0U,	// FRSTORm
7087    0U,	// FSAVEm
7088    0U,	// FSCALE
7089    0U,	// FSETPM
7090    0U,	// FSINCOS
7091    0U,	// FSTENVm
7092    0U,	// FXAM
7093    0U,	// FXRSTOR
7094    0U,	// FXRSTOR64
7095    0U,	// FXSAVE
7096    0U,	// FXSAVE64
7097    0U,	// FXTRACT
7098    0U,	// FYL2X
7099    0U,	// FYL2XP1
7100    0U,	// FsANDNPDrm
7101    0U,	// FsANDNPDrr
7102    0U,	// FsANDNPSrm
7103    0U,	// FsANDNPSrr
7104    0U,	// FsANDPDrm
7105    0U,	// FsANDPDrr
7106    0U,	// FsANDPSrm
7107    0U,	// FsANDPSrr
7108    0U,	// FsFLD0SD
7109    0U,	// FsFLD0SS
7110    0U,	// FsMOVAPDrm
7111    0U,	// FsMOVAPSrm
7112    0U,	// FsORPDrm
7113    0U,	// FsORPDrr
7114    0U,	// FsORPSrm
7115    0U,	// FsORPSrr
7116    0U,	// FsVMOVAPDrm
7117    0U,	// FsVMOVAPSrm
7118    0U,	// FsXORPDrm
7119    0U,	// FsXORPDrr
7120    0U,	// FsXORPSrm
7121    0U,	// FsXORPSrr
7122    0U,	// GETSEC
7123    0U,	// HADDPDrm
7124    0U,	// HADDPDrr
7125    0U,	// HADDPSrm
7126    0U,	// HADDPSrr
7127    0U,	// HLT
7128    0U,	// HSUBPDrm
7129    0U,	// HSUBPDrr
7130    0U,	// HSUBPSrm
7131    0U,	// HSUBPSrr
7132    0U,	// IDIV16m
7133    0U,	// IDIV16r
7134    0U,	// IDIV32m
7135    0U,	// IDIV32r
7136    0U,	// IDIV64m
7137    0U,	// IDIV64r
7138    0U,	// IDIV8m
7139    0U,	// IDIV8r
7140    0U,	// ILD_F16m
7141    0U,	// ILD_F32m
7142    0U,	// ILD_F64m
7143    0U,	// ILD_Fp16m32
7144    0U,	// ILD_Fp16m64
7145    0U,	// ILD_Fp16m80
7146    0U,	// ILD_Fp32m32
7147    0U,	// ILD_Fp32m64
7148    0U,	// ILD_Fp32m80
7149    0U,	// ILD_Fp64m32
7150    0U,	// ILD_Fp64m64
7151    0U,	// ILD_Fp64m80
7152    0U,	// IMUL16m
7153    0U,	// IMUL16r
7154    0U,	// IMUL16rm
7155    0U,	// IMUL16rmi
7156    0U,	// IMUL16rmi8
7157    0U,	// IMUL16rr
7158    8U,	// IMUL16rri
7159    8U,	// IMUL16rri8
7160    0U,	// IMUL32m
7161    0U,	// IMUL32r
7162    0U,	// IMUL32rm
7163    0U,	// IMUL32rmi
7164    0U,	// IMUL32rmi8
7165    0U,	// IMUL32rr
7166    8U,	// IMUL32rri
7167    8U,	// IMUL32rri8
7168    0U,	// IMUL64m
7169    0U,	// IMUL64r
7170    0U,	// IMUL64rm
7171    0U,	// IMUL64rmi32
7172    0U,	// IMUL64rmi8
7173    0U,	// IMUL64rr
7174    8U,	// IMUL64rri32
7175    8U,	// IMUL64rri8
7176    0U,	// IMUL8m
7177    0U,	// IMUL8r
7178    0U,	// IN16ri
7179    0U,	// IN16rr
7180    0U,	// IN32ri
7181    0U,	// IN32rr
7182    0U,	// IN8ri
7183    0U,	// IN8rr
7184    0U,	// INC16m
7185    0U,	// INC16r
7186    0U,	// INC32_16r
7187    0U,	// INC32_32r
7188    0U,	// INC32m
7189    0U,	// INC32r
7190    0U,	// INC64_16m
7191    0U,	// INC64_16r
7192    0U,	// INC64_32m
7193    0U,	// INC64_32r
7194    0U,	// INC64m
7195    0U,	// INC64r
7196    0U,	// INC8m
7197    0U,	// INC8r
7198    0U,	// INSB
7199    32U,	// INSERTPSrm
7200    40U,	// INSERTPSrr
7201    0U,	// INSERTQ
7202    296U,	// INSERTQI
7203    0U,	// INSL
7204    0U,	// INSW
7205    0U,	// INT
7206    0U,	// INT1
7207    0U,	// INT3
7208    0U,	// INTO
7209    0U,	// INVD
7210    0U,	// INVEPT32
7211    0U,	// INVEPT64
7212    0U,	// INVLPG
7213    0U,	// INVLPGA32
7214    0U,	// INVLPGA64
7215    0U,	// INVPCID32
7216    0U,	// INVPCID64
7217    0U,	// INVVPID32
7218    0U,	// INVVPID64
7219    0U,	// IRET16
7220    0U,	// IRET32
7221    0U,	// IRET64
7222    0U,	// ISTT_FP16m
7223    0U,	// ISTT_FP32m
7224    0U,	// ISTT_FP64m
7225    0U,	// ISTT_Fp16m32
7226    0U,	// ISTT_Fp16m64
7227    0U,	// ISTT_Fp16m80
7228    0U,	// ISTT_Fp32m32
7229    0U,	// ISTT_Fp32m64
7230    0U,	// ISTT_Fp32m80
7231    0U,	// ISTT_Fp64m32
7232    0U,	// ISTT_Fp64m64
7233    0U,	// ISTT_Fp64m80
7234    0U,	// IST_F16m
7235    0U,	// IST_F32m
7236    0U,	// IST_FP16m
7237    0U,	// IST_FP32m
7238    0U,	// IST_FP64m
7239    0U,	// IST_Fp16m32
7240    0U,	// IST_Fp16m64
7241    0U,	// IST_Fp16m80
7242    0U,	// IST_Fp32m32
7243    0U,	// IST_Fp32m64
7244    0U,	// IST_Fp32m80
7245    0U,	// IST_Fp64m32
7246    0U,	// IST_Fp64m64
7247    0U,	// IST_Fp64m80
7248    0U,	// Int_CMPSDrm
7249    0U,	// Int_CMPSDrr
7250    0U,	// Int_CMPSSrm
7251    0U,	// Int_CMPSSrr
7252    0U,	// Int_COMISDrm
7253    0U,	// Int_COMISDrr
7254    0U,	// Int_COMISSrm
7255    0U,	// Int_COMISSrr
7256    0U,	// Int_CVTSD2SSrm
7257    0U,	// Int_CVTSD2SSrr
7258    0U,	// Int_CVTSI2SD64rm
7259    0U,	// Int_CVTSI2SD64rr
7260    0U,	// Int_CVTSI2SDrm
7261    0U,	// Int_CVTSI2SDrr
7262    0U,	// Int_CVTSI2SS64rm
7263    0U,	// Int_CVTSI2SS64rr
7264    0U,	// Int_CVTSI2SSrm
7265    0U,	// Int_CVTSI2SSrr
7266    0U,	// Int_CVTSS2SDrm
7267    0U,	// Int_CVTSS2SDrr
7268    0U,	// Int_CVTTSD2SI64rm
7269    0U,	// Int_CVTTSD2SI64rr
7270    0U,	// Int_CVTTSD2SIrm
7271    0U,	// Int_CVTTSD2SIrr
7272    0U,	// Int_CVTTSS2SI64rm
7273    0U,	// Int_CVTTSS2SI64rr
7274    0U,	// Int_CVTTSS2SIrm
7275    0U,	// Int_CVTTSS2SIrr
7276    0U,	// Int_MemBarrier
7277    0U,	// Int_UCOMISDrm
7278    0U,	// Int_UCOMISDrr
7279    0U,	// Int_UCOMISSrm
7280    0U,	// Int_UCOMISSrr
7281    48U,	// Int_VCMPSDrm
7282    8U,	// Int_VCMPSDrr
7283    56U,	// Int_VCMPSSrm
7284    8U,	// Int_VCMPSSrr
7285    0U,	// Int_VCOMISDZrm
7286    0U,	// Int_VCOMISDZrr
7287    0U,	// Int_VCOMISDrm
7288    0U,	// Int_VCOMISDrr
7289    0U,	// Int_VCOMISSZrm
7290    0U,	// Int_VCOMISSZrr
7291    0U,	// Int_VCOMISSrm
7292    0U,	// Int_VCOMISSrr
7293    48U,	// Int_VCVTSD2SSrm
7294    8U,	// Int_VCVTSD2SSrr
7295    24U,	// Int_VCVTSI2SD64Zrm
7296    8U,	// Int_VCVTSI2SD64Zrr
7297    24U,	// Int_VCVTSI2SD64rm
7298    8U,	// Int_VCVTSI2SD64rr
7299    16U,	// Int_VCVTSI2SDZrm
7300    8U,	// Int_VCVTSI2SDZrr
7301    16U,	// Int_VCVTSI2SDrm
7302    8U,	// Int_VCVTSI2SDrr
7303    24U,	// Int_VCVTSI2SS64Zrm
7304    8U,	// Int_VCVTSI2SS64Zrr
7305    24U,	// Int_VCVTSI2SS64rm
7306    8U,	// Int_VCVTSI2SS64rr
7307    16U,	// Int_VCVTSI2SSZrm
7308    8U,	// Int_VCVTSI2SSZrr
7309    16U,	// Int_VCVTSI2SSrm
7310    8U,	// Int_VCVTSI2SSrr
7311    56U,	// Int_VCVTSS2SDrm
7312    8U,	// Int_VCVTSS2SDrr
7313    0U,	// Int_VCVTTSD2SI64Zrm
7314    0U,	// Int_VCVTTSD2SI64Zrr
7315    0U,	// Int_VCVTTSD2SI64rm
7316    0U,	// Int_VCVTTSD2SI64rr
7317    0U,	// Int_VCVTTSD2SIZrm
7318    0U,	// Int_VCVTTSD2SIZrr
7319    0U,	// Int_VCVTTSD2SIrm
7320    0U,	// Int_VCVTTSD2SIrr
7321    0U,	// Int_VCVTTSD2USI64Zrm
7322    0U,	// Int_VCVTTSD2USI64Zrr
7323    0U,	// Int_VCVTTSD2USIZrm
7324    0U,	// Int_VCVTTSD2USIZrr
7325    0U,	// Int_VCVTTSS2SI64Zrm
7326    0U,	// Int_VCVTTSS2SI64Zrr
7327    0U,	// Int_VCVTTSS2SI64rm
7328    0U,	// Int_VCVTTSS2SI64rr
7329    0U,	// Int_VCVTTSS2SIZrm
7330    0U,	// Int_VCVTTSS2SIZrr
7331    0U,	// Int_VCVTTSS2SIrm
7332    0U,	// Int_VCVTTSS2SIrr
7333    0U,	// Int_VCVTTSS2USI64Zrm
7334    0U,	// Int_VCVTTSS2USI64Zrr
7335    0U,	// Int_VCVTTSS2USIZrm
7336    0U,	// Int_VCVTTSS2USIZrr
7337    24U,	// Int_VCVTUSI2SD64Zrm
7338    8U,	// Int_VCVTUSI2SD64Zrr
7339    16U,	// Int_VCVTUSI2SDZrm
7340    8U,	// Int_VCVTUSI2SDZrr
7341    24U,	// Int_VCVTUSI2SS64Zrm
7342    8U,	// Int_VCVTUSI2SS64Zrr
7343    16U,	// Int_VCVTUSI2SSZrm
7344    8U,	// Int_VCVTUSI2SSZrr
7345    0U,	// Int_VUCOMISDZrm
7346    0U,	// Int_VUCOMISDZrr
7347    0U,	// Int_VUCOMISDrm
7348    0U,	// Int_VUCOMISDrr
7349    0U,	// Int_VUCOMISSZrm
7350    0U,	// Int_VUCOMISSZrr
7351    0U,	// Int_VUCOMISSrm
7352    0U,	// Int_VUCOMISSrr
7353    0U,	// JAE_1
7354    0U,	// JAE_2
7355    0U,	// JAE_4
7356    0U,	// JA_1
7357    0U,	// JA_2
7358    0U,	// JA_4
7359    0U,	// JBE_1
7360    0U,	// JBE_2
7361    0U,	// JBE_4
7362    0U,	// JB_1
7363    0U,	// JB_2
7364    0U,	// JB_4
7365    0U,	// JCXZ
7366    0U,	// JECXZ_32
7367    0U,	// JECXZ_64
7368    0U,	// JE_1
7369    0U,	// JE_2
7370    0U,	// JE_4
7371    0U,	// JGE_1
7372    0U,	// JGE_2
7373    0U,	// JGE_4
7374    0U,	// JG_1
7375    0U,	// JG_2
7376    0U,	// JG_4
7377    0U,	// JLE_1
7378    0U,	// JLE_2
7379    0U,	// JLE_4
7380    0U,	// JL_1
7381    0U,	// JL_2
7382    0U,	// JL_4
7383    0U,	// JMP16m
7384    0U,	// JMP16r
7385    0U,	// JMP32m
7386    0U,	// JMP32r
7387    0U,	// JMP64m
7388    0U,	// JMP64r
7389    0U,	// JMP_1
7390    0U,	// JMP_2
7391    0U,	// JMP_4
7392    0U,	// JNE_1
7393    0U,	// JNE_2
7394    0U,	// JNE_4
7395    0U,	// JNO_1
7396    0U,	// JNO_2
7397    0U,	// JNO_4
7398    0U,	// JNP_1
7399    0U,	// JNP_2
7400    0U,	// JNP_4
7401    0U,	// JNS_1
7402    0U,	// JNS_2
7403    0U,	// JNS_4
7404    0U,	// JO_1
7405    0U,	// JO_2
7406    0U,	// JO_4
7407    0U,	// JP_1
7408    0U,	// JP_2
7409    0U,	// JP_4
7410    0U,	// JRCXZ
7411    0U,	// JS_1
7412    0U,	// JS_2
7413    0U,	// JS_4
7414    8U,	// KANDBrr
7415    8U,	// KANDDrr
7416    8U,	// KANDNBrr
7417    8U,	// KANDNDrr
7418    8U,	// KANDNQrr
7419    8U,	// KANDNWrr
7420    8U,	// KANDQrr
7421    8U,	// KANDWrr
7422    0U,	// KMOVBkk
7423    0U,	// KMOVBkm
7424    0U,	// KMOVBkr
7425    0U,	// KMOVBmk
7426    0U,	// KMOVBrk
7427    0U,	// KMOVDkk
7428    0U,	// KMOVDkm
7429    0U,	// KMOVDkr
7430    0U,	// KMOVDmk
7431    0U,	// KMOVDrk
7432    0U,	// KMOVQkk
7433    0U,	// KMOVQkm
7434    0U,	// KMOVQkr
7435    0U,	// KMOVQmk
7436    0U,	// KMOVQrk
7437    0U,	// KMOVWkk
7438    0U,	// KMOVWkm
7439    0U,	// KMOVWkr
7440    0U,	// KMOVWmk
7441    0U,	// KMOVWrk
7442    0U,	// KNOTBrr
7443    0U,	// KNOTDrr
7444    0U,	// KNOTQrr
7445    0U,	// KNOTWrr
7446    8U,	// KORBrr
7447    8U,	// KORDrr
7448    8U,	// KORQrr
7449    0U,	// KORTESTWrr
7450    8U,	// KORWrr
7451    0U,	// KSET0B
7452    0U,	// KSET0W
7453    0U,	// KSET1B
7454    0U,	// KSET1W
7455    8U,	// KSHIFTLWri
7456    8U,	// KSHIFTRWri
7457    8U,	// KUNPCKBWrr
7458    8U,	// KXNORBrr
7459    8U,	// KXNORDrr
7460    8U,	// KXNORQrr
7461    8U,	// KXNORWrr
7462    8U,	// KXORBrr
7463    8U,	// KXORDrr
7464    8U,	// KXORQrr
7465    8U,	// KXORWrr
7466    0U,	// LAHF
7467    0U,	// LAR16rm
7468    0U,	// LAR16rr
7469    0U,	// LAR32rm
7470    0U,	// LAR32rr
7471    0U,	// LAR64rm
7472    0U,	// LAR64rr
7473    0U,	// LCMPXCHG16
7474    0U,	// LCMPXCHG16B
7475    0U,	// LCMPXCHG32
7476    0U,	// LCMPXCHG64
7477    0U,	// LCMPXCHG8
7478    0U,	// LCMPXCHG8B
7479    0U,	// LDDQUrm
7480    0U,	// LDMXCSR
7481    0U,	// LDS16rm
7482    0U,	// LDS32rm
7483    0U,	// LD_F0
7484    0U,	// LD_F1
7485    0U,	// LD_F32m
7486    0U,	// LD_F64m
7487    0U,	// LD_F80m
7488    0U,	// LD_Fp032
7489    0U,	// LD_Fp064
7490    0U,	// LD_Fp080
7491    0U,	// LD_Fp132
7492    0U,	// LD_Fp164
7493    0U,	// LD_Fp180
7494    0U,	// LD_Fp32m
7495    0U,	// LD_Fp32m64
7496    0U,	// LD_Fp32m80
7497    0U,	// LD_Fp64m
7498    0U,	// LD_Fp64m80
7499    0U,	// LD_Fp80m
7500    0U,	// LD_Frr
7501    0U,	// LEA16r
7502    0U,	// LEA32r
7503    0U,	// LEA64_32r
7504    0U,	// LEA64r
7505    0U,	// LEAVE
7506    0U,	// LEAVE64
7507    0U,	// LES16rm
7508    0U,	// LES32rm
7509    0U,	// LFENCE
7510    0U,	// LFS16rm
7511    0U,	// LFS32rm
7512    0U,	// LFS64rm
7513    0U,	// LGDT16m
7514    0U,	// LGDT32m
7515    0U,	// LGDT64m
7516    0U,	// LGS16rm
7517    0U,	// LGS32rm
7518    0U,	// LGS64rm
7519    0U,	// LIDT16m
7520    0U,	// LIDT32m
7521    0U,	// LIDT64m
7522    0U,	// LLDT16m
7523    0U,	// LLDT16r
7524    0U,	// LMSW16m
7525    0U,	// LMSW16r
7526    0U,	// LOCK_ADD16mi
7527    0U,	// LOCK_ADD16mi8
7528    0U,	// LOCK_ADD16mr
7529    0U,	// LOCK_ADD32mi
7530    0U,	// LOCK_ADD32mi8
7531    0U,	// LOCK_ADD32mr
7532    0U,	// LOCK_ADD64mi32
7533    0U,	// LOCK_ADD64mi8
7534    0U,	// LOCK_ADD64mr
7535    0U,	// LOCK_ADD8mi
7536    0U,	// LOCK_ADD8mr
7537    0U,	// LOCK_AND16mi
7538    0U,	// LOCK_AND16mi8
7539    0U,	// LOCK_AND16mr
7540    0U,	// LOCK_AND32mi
7541    0U,	// LOCK_AND32mi8
7542    0U,	// LOCK_AND32mr
7543    0U,	// LOCK_AND64mi32
7544    0U,	// LOCK_AND64mi8
7545    0U,	// LOCK_AND64mr
7546    0U,	// LOCK_AND8mi
7547    0U,	// LOCK_AND8mr
7548    0U,	// LOCK_DEC16m
7549    0U,	// LOCK_DEC32m
7550    0U,	// LOCK_DEC64m
7551    0U,	// LOCK_DEC8m
7552    0U,	// LOCK_INC16m
7553    0U,	// LOCK_INC32m
7554    0U,	// LOCK_INC64m
7555    0U,	// LOCK_INC8m
7556    0U,	// LOCK_OR16mi
7557    0U,	// LOCK_OR16mi8
7558    0U,	// LOCK_OR16mr
7559    0U,	// LOCK_OR32mi
7560    0U,	// LOCK_OR32mi8
7561    0U,	// LOCK_OR32mr
7562    0U,	// LOCK_OR64mi32
7563    0U,	// LOCK_OR64mi8
7564    0U,	// LOCK_OR64mr
7565    0U,	// LOCK_OR8mi
7566    0U,	// LOCK_OR8mr
7567    0U,	// LOCK_PREFIX
7568    0U,	// LOCK_SUB16mi
7569    0U,	// LOCK_SUB16mi8
7570    0U,	// LOCK_SUB16mr
7571    0U,	// LOCK_SUB32mi
7572    0U,	// LOCK_SUB32mi8
7573    0U,	// LOCK_SUB32mr
7574    0U,	// LOCK_SUB64mi32
7575    0U,	// LOCK_SUB64mi8
7576    0U,	// LOCK_SUB64mr
7577    0U,	// LOCK_SUB8mi
7578    0U,	// LOCK_SUB8mr
7579    0U,	// LOCK_XOR16mi
7580    0U,	// LOCK_XOR16mi8
7581    0U,	// LOCK_XOR16mr
7582    0U,	// LOCK_XOR32mi
7583    0U,	// LOCK_XOR32mi8
7584    0U,	// LOCK_XOR32mr
7585    0U,	// LOCK_XOR64mi32
7586    0U,	// LOCK_XOR64mi8
7587    0U,	// LOCK_XOR64mr
7588    0U,	// LOCK_XOR8mi
7589    0U,	// LOCK_XOR8mr
7590    0U,	// LODSB
7591    0U,	// LODSL
7592    0U,	// LODSQ
7593    0U,	// LODSW
7594    0U,	// LOOP
7595    0U,	// LOOPE
7596    0U,	// LOOPNE
7597    0U,	// LRETIL
7598    0U,	// LRETIQ
7599    0U,	// LRETIW
7600    0U,	// LRETL
7601    0U,	// LRETQ
7602    0U,	// LRETW
7603    0U,	// LSL16rm
7604    0U,	// LSL16rr
7605    0U,	// LSL32rm
7606    0U,	// LSL32rr
7607    0U,	// LSL64rm
7608    0U,	// LSL64rr
7609    0U,	// LSS16rm
7610    0U,	// LSS32rm
7611    0U,	// LSS64rm
7612    0U,	// LTRm
7613    0U,	// LTRr
7614    0U,	// LXADD16
7615    0U,	// LXADD32
7616    0U,	// LXADD64
7617    0U,	// LXADD8
7618    0U,	// LZCNT16rm
7619    0U,	// LZCNT16rr
7620    0U,	// LZCNT32rm
7621    0U,	// LZCNT32rr
7622    0U,	// LZCNT64rm
7623    0U,	// LZCNT64rr
7624    0U,	// MASKMOVDQU
7625    0U,	// MASKMOVDQU64
7626    0U,	// MAXCPDrm
7627    0U,	// MAXCPDrr
7628    0U,	// MAXCPSrm
7629    0U,	// MAXCPSrr
7630    0U,	// MAXCSDrm
7631    0U,	// MAXCSDrr
7632    0U,	// MAXCSSrm
7633    0U,	// MAXCSSrr
7634    0U,	// MAXPDrm
7635    0U,	// MAXPDrr
7636    0U,	// MAXPSrm
7637    0U,	// MAXPSrr
7638    0U,	// MAXSDrm
7639    0U,	// MAXSDrm_Int
7640    0U,	// MAXSDrr
7641    0U,	// MAXSDrr_Int
7642    0U,	// MAXSSrm
7643    0U,	// MAXSSrm_Int
7644    0U,	// MAXSSrr
7645    0U,	// MAXSSrr_Int
7646    0U,	// MFENCE
7647    0U,	// MINCPDrm
7648    0U,	// MINCPDrr
7649    0U,	// MINCPSrm
7650    0U,	// MINCPSrr
7651    0U,	// MINCSDrm
7652    0U,	// MINCSDrr
7653    0U,	// MINCSSrm
7654    0U,	// MINCSSrr
7655    0U,	// MINPDrm
7656    0U,	// MINPDrr
7657    0U,	// MINPSrm
7658    0U,	// MINPSrr
7659    0U,	// MINSDrm
7660    0U,	// MINSDrm_Int
7661    0U,	// MINSDrr
7662    0U,	// MINSDrr_Int
7663    0U,	// MINSSrm
7664    0U,	// MINSSrm_Int
7665    0U,	// MINSSrr
7666    0U,	// MINSSrr_Int
7667    0U,	// MMX_CVTPD2PIirm
7668    0U,	// MMX_CVTPD2PIirr
7669    0U,	// MMX_CVTPI2PDirm
7670    0U,	// MMX_CVTPI2PDirr
7671    0U,	// MMX_CVTPI2PSirm
7672    0U,	// MMX_CVTPI2PSirr
7673    0U,	// MMX_CVTPS2PIirm
7674    0U,	// MMX_CVTPS2PIirr
7675    0U,	// MMX_CVTTPD2PIirm
7676    0U,	// MMX_CVTTPD2PIirr
7677    0U,	// MMX_CVTTPS2PIirm
7678    0U,	// MMX_CVTTPS2PIirr
7679    0U,	// MMX_EMMS
7680    0U,	// MMX_MASKMOVQ
7681    0U,	// MMX_MASKMOVQ64
7682    0U,	// MMX_MOVD64from64rr
7683    0U,	// MMX_MOVD64grr
7684    0U,	// MMX_MOVD64mr
7685    0U,	// MMX_MOVD64rm
7686    0U,	// MMX_MOVD64rr
7687    0U,	// MMX_MOVD64to64rr
7688    0U,	// MMX_MOVDQ2Qrr
7689    0U,	// MMX_MOVFR642Qrr
7690    0U,	// MMX_MOVNTQmr
7691    0U,	// MMX_MOVQ2DQrr
7692    0U,	// MMX_MOVQ2FR64rr
7693    0U,	// MMX_MOVQ64mr
7694    0U,	// MMX_MOVQ64rm
7695    0U,	// MMX_MOVQ64rr
7696    0U,	// MMX_MOVQ64rr_REV
7697    0U,	// MMX_PABSBrm64
7698    0U,	// MMX_PABSBrr64
7699    0U,	// MMX_PABSDrm64
7700    0U,	// MMX_PABSDrr64
7701    0U,	// MMX_PABSWrm64
7702    0U,	// MMX_PABSWrr64
7703    0U,	// MMX_PACKSSDWirm
7704    0U,	// MMX_PACKSSDWirr
7705    0U,	// MMX_PACKSSWBirm
7706    0U,	// MMX_PACKSSWBirr
7707    0U,	// MMX_PACKUSWBirm
7708    0U,	// MMX_PACKUSWBirr
7709    0U,	// MMX_PADDBirm
7710    0U,	// MMX_PADDBirr
7711    0U,	// MMX_PADDDirm
7712    0U,	// MMX_PADDDirr
7713    0U,	// MMX_PADDQirm
7714    0U,	// MMX_PADDQirr
7715    0U,	// MMX_PADDSBirm
7716    0U,	// MMX_PADDSBirr
7717    0U,	// MMX_PADDSWirm
7718    0U,	// MMX_PADDSWirr
7719    0U,	// MMX_PADDUSBirm
7720    0U,	// MMX_PADDUSBirr
7721    0U,	// MMX_PADDUSWirm
7722    0U,	// MMX_PADDUSWirr
7723    0U,	// MMX_PADDWirm
7724    0U,	// MMX_PADDWirr
7725    32U,	// MMX_PALIGNR64irm
7726    40U,	// MMX_PALIGNR64irr
7727    0U,	// MMX_PANDNirm
7728    0U,	// MMX_PANDNirr
7729    0U,	// MMX_PANDirm
7730    0U,	// MMX_PANDirr
7731    0U,	// MMX_PAVGBirm
7732    0U,	// MMX_PAVGBirr
7733    0U,	// MMX_PAVGWirm
7734    0U,	// MMX_PAVGWirr
7735    0U,	// MMX_PCMPEQBirm
7736    0U,	// MMX_PCMPEQBirr
7737    0U,	// MMX_PCMPEQDirm
7738    0U,	// MMX_PCMPEQDirr
7739    0U,	// MMX_PCMPEQWirm
7740    0U,	// MMX_PCMPEQWirr
7741    0U,	// MMX_PCMPGTBirm
7742    0U,	// MMX_PCMPGTBirr
7743    0U,	// MMX_PCMPGTDirm
7744    0U,	// MMX_PCMPGTDirr
7745    0U,	// MMX_PCMPGTWirm
7746    0U,	// MMX_PCMPGTWirr
7747    8U,	// MMX_PEXTRWirri
7748    0U,	// MMX_PHADDSWrm64
7749    0U,	// MMX_PHADDSWrr64
7750    0U,	// MMX_PHADDWrm64
7751    0U,	// MMX_PHADDWrr64
7752    0U,	// MMX_PHADDrm64
7753    0U,	// MMX_PHADDrr64
7754    0U,	// MMX_PHSUBDrm64
7755    0U,	// MMX_PHSUBDrr64
7756    0U,	// MMX_PHSUBSWrm64
7757    0U,	// MMX_PHSUBSWrr64
7758    0U,	// MMX_PHSUBWrm64
7759    0U,	// MMX_PHSUBWrr64
7760    32U,	// MMX_PINSRWirmi
7761    40U,	// MMX_PINSRWirri
7762    0U,	// MMX_PMADDUBSWrm64
7763    0U,	// MMX_PMADDUBSWrr64
7764    0U,	// MMX_PMADDWDirm
7765    0U,	// MMX_PMADDWDirr
7766    0U,	// MMX_PMAXSWirm
7767    0U,	// MMX_PMAXSWirr
7768    0U,	// MMX_PMAXUBirm
7769    0U,	// MMX_PMAXUBirr
7770    0U,	// MMX_PMINSWirm
7771    0U,	// MMX_PMINSWirr
7772    0U,	// MMX_PMINUBirm
7773    0U,	// MMX_PMINUBirr
7774    0U,	// MMX_PMOVMSKBrr
7775    0U,	// MMX_PMULHRSWrm64
7776    0U,	// MMX_PMULHRSWrr64
7777    0U,	// MMX_PMULHUWirm
7778    0U,	// MMX_PMULHUWirr
7779    0U,	// MMX_PMULHWirm
7780    0U,	// MMX_PMULHWirr
7781    0U,	// MMX_PMULLWirm
7782    0U,	// MMX_PMULLWirr
7783    0U,	// MMX_PMULUDQirm
7784    0U,	// MMX_PMULUDQirr
7785    0U,	// MMX_PORirm
7786    0U,	// MMX_PORirr
7787    0U,	// MMX_PSADBWirm
7788    0U,	// MMX_PSADBWirr
7789    0U,	// MMX_PSHUFBrm64
7790    0U,	// MMX_PSHUFBrr64
7791    0U,	// MMX_PSHUFWmi
7792    8U,	// MMX_PSHUFWri
7793    0U,	// MMX_PSIGNBrm64
7794    0U,	// MMX_PSIGNBrr64
7795    0U,	// MMX_PSIGNDrm64
7796    0U,	// MMX_PSIGNDrr64
7797    0U,	// MMX_PSIGNWrm64
7798    0U,	// MMX_PSIGNWrr64
7799    0U,	// MMX_PSLLDri
7800    0U,	// MMX_PSLLDrm
7801    0U,	// MMX_PSLLDrr
7802    0U,	// MMX_PSLLQri
7803    0U,	// MMX_PSLLQrm
7804    0U,	// MMX_PSLLQrr
7805    0U,	// MMX_PSLLWri
7806    0U,	// MMX_PSLLWrm
7807    0U,	// MMX_PSLLWrr
7808    0U,	// MMX_PSRADri
7809    0U,	// MMX_PSRADrm
7810    0U,	// MMX_PSRADrr
7811    0U,	// MMX_PSRAWri
7812    0U,	// MMX_PSRAWrm
7813    0U,	// MMX_PSRAWrr
7814    0U,	// MMX_PSRLDri
7815    0U,	// MMX_PSRLDrm
7816    0U,	// MMX_PSRLDrr
7817    0U,	// MMX_PSRLQri
7818    0U,	// MMX_PSRLQrm
7819    0U,	// MMX_PSRLQrr
7820    0U,	// MMX_PSRLWri
7821    0U,	// MMX_PSRLWrm
7822    0U,	// MMX_PSRLWrr
7823    0U,	// MMX_PSUBBirm
7824    0U,	// MMX_PSUBBirr
7825    0U,	// MMX_PSUBDirm
7826    0U,	// MMX_PSUBDirr
7827    0U,	// MMX_PSUBQirm
7828    0U,	// MMX_PSUBQirr
7829    0U,	// MMX_PSUBSBirm
7830    0U,	// MMX_PSUBSBirr
7831    0U,	// MMX_PSUBSWirm
7832    0U,	// MMX_PSUBSWirr
7833    0U,	// MMX_PSUBUSBirm
7834    0U,	// MMX_PSUBUSBirr
7835    0U,	// MMX_PSUBUSWirm
7836    0U,	// MMX_PSUBUSWirr
7837    0U,	// MMX_PSUBWirm
7838    0U,	// MMX_PSUBWirr
7839    0U,	// MMX_PUNPCKHBWirm
7840    0U,	// MMX_PUNPCKHBWirr
7841    0U,	// MMX_PUNPCKHDQirm
7842    0U,	// MMX_PUNPCKHDQirr
7843    0U,	// MMX_PUNPCKHWDirm
7844    0U,	// MMX_PUNPCKHWDirr
7845    0U,	// MMX_PUNPCKLBWirm
7846    0U,	// MMX_PUNPCKLBWirr
7847    0U,	// MMX_PUNPCKLDQirm
7848    0U,	// MMX_PUNPCKLDQirr
7849    0U,	// MMX_PUNPCKLWDirm
7850    0U,	// MMX_PUNPCKLWDirr
7851    0U,	// MMX_PXORirm
7852    0U,	// MMX_PXORirr
7853    0U,	// MONITOR
7854    0U,	// MONITORrrr
7855    0U,	// MONTMUL
7856    0U,	// MORESTACK_RET
7857    0U,	// MORESTACK_RET_RESTORE_R10
7858    0U,	// MOV16ao16
7859    0U,	// MOV16ao16_16
7860    0U,	// MOV16mi
7861    0U,	// MOV16mr
7862    0U,	// MOV16ms
7863    0U,	// MOV16o16a
7864    0U,	// MOV16o16a_16
7865    0U,	// MOV16ri
7866    0U,	// MOV16ri_alt
7867    0U,	// MOV16rm
7868    0U,	// MOV16rr
7869    0U,	// MOV16rr_REV
7870    0U,	// MOV16rs
7871    0U,	// MOV16sm
7872    0U,	// MOV16sr
7873    0U,	// MOV32ao32
7874    0U,	// MOV32ao32_16
7875    0U,	// MOV32cr
7876    0U,	// MOV32dr
7877    0U,	// MOV32mi
7878    0U,	// MOV32mr
7879    0U,	// MOV32ms
7880    0U,	// MOV32o32a
7881    0U,	// MOV32o32a_16
7882    0U,	// MOV32r0
7883    0U,	// MOV32rc
7884    0U,	// MOV32rd
7885    0U,	// MOV32ri
7886    0U,	// MOV32ri64
7887    0U,	// MOV32ri_alt
7888    0U,	// MOV32rm
7889    0U,	// MOV32rr
7890    0U,	// MOV32rr_REV
7891    0U,	// MOV32rs
7892    0U,	// MOV32sm
7893    0U,	// MOV32sr
7894    0U,	// MOV64ao16
7895    0U,	// MOV64ao32
7896    0U,	// MOV64ao64
7897    0U,	// MOV64ao8
7898    0U,	// MOV64cr
7899    0U,	// MOV64dr
7900    0U,	// MOV64mi32
7901    0U,	// MOV64mr
7902    0U,	// MOV64ms
7903    0U,	// MOV64o16a
7904    0U,	// MOV64o32a
7905    0U,	// MOV64o64a
7906    0U,	// MOV64o8a
7907    0U,	// MOV64rc
7908    0U,	// MOV64rd
7909    0U,	// MOV64ri
7910    0U,	// MOV64ri32
7911    0U,	// MOV64rm
7912    0U,	// MOV64rr
7913    0U,	// MOV64rr_REV
7914    0U,	// MOV64rs
7915    0U,	// MOV64sm
7916    0U,	// MOV64sr
7917    0U,	// MOV64toPQIrr
7918    0U,	// MOV64toSDrm
7919    0U,	// MOV64toSDrr
7920    0U,	// MOV8ao8
7921    0U,	// MOV8ao8_16
7922    0U,	// MOV8mi
7923    0U,	// MOV8mr
7924    1U,	// MOV8mr_NOREX
7925    0U,	// MOV8o8a
7926    0U,	// MOV8o8a_16
7927    0U,	// MOV8ri
7928    0U,	// MOV8ri_alt
7929    0U,	// MOV8rm
7930    1U,	// MOV8rm_NOREX
7931    0U,	// MOV8rr
7932    1U,	// MOV8rr_NOREX
7933    0U,	// MOV8rr_REV
7934    0U,	// MOVAPDmr
7935    0U,	// MOVAPDrm
7936    0U,	// MOVAPDrr
7937    0U,	// MOVAPDrr_REV
7938    0U,	// MOVAPSmr
7939    0U,	// MOVAPSrm
7940    0U,	// MOVAPSrr
7941    0U,	// MOVAPSrr_REV
7942    0U,	// MOVBE16mr
7943    0U,	// MOVBE16rm
7944    0U,	// MOVBE32mr
7945    0U,	// MOVBE32rm
7946    0U,	// MOVBE64mr
7947    0U,	// MOVBE64rm
7948    0U,	// MOVDDUPrm
7949    0U,	// MOVDDUPrr
7950    0U,	// MOVDI2PDIrm
7951    0U,	// MOVDI2PDIrr
7952    0U,	// MOVDI2SSrm
7953    0U,	// MOVDI2SSrr
7954    0U,	// MOVDQAmr
7955    0U,	// MOVDQArm
7956    0U,	// MOVDQArr
7957    0U,	// MOVDQArr_REV
7958    0U,	// MOVDQUmr
7959    0U,	// MOVDQUrm
7960    0U,	// MOVDQUrr
7961    0U,	// MOVDQUrr_REV
7962    0U,	// MOVHLPSrr
7963    0U,	// MOVHPDmr
7964    0U,	// MOVHPDrm
7965    0U,	// MOVHPSmr
7966    0U,	// MOVHPSrm
7967    0U,	// MOVLHPSrr
7968    0U,	// MOVLPDmr
7969    0U,	// MOVLPDrm
7970    0U,	// MOVLPSmr
7971    0U,	// MOVLPSrm
7972    0U,	// MOVMSKPDrr
7973    0U,	// MOVMSKPSrr
7974    0U,	// MOVNTDQArm
7975    0U,	// MOVNTDQmr
7976    0U,	// MOVNTI_64mr
7977    0U,	// MOVNTImr
7978    0U,	// MOVNTPDmr
7979    0U,	// MOVNTPSmr
7980    0U,	// MOVNTSD
7981    0U,	// MOVNTSS
7982    0U,	// MOVPC32r
7983    0U,	// MOVPDI2DImr
7984    0U,	// MOVPDI2DIrr
7985    0U,	// MOVPQI2QImr
7986    0U,	// MOVPQI2QIrr
7987    0U,	// MOVPQIto64rr
7988    0U,	// MOVQI2PQIrm
7989    0U,	// MOVSB
7990    0U,	// MOVSDmr
7991    0U,	// MOVSDrm
7992    0U,	// MOVSDrr
7993    0U,	// MOVSDrr_REV
7994    0U,	// MOVSDto64mr
7995    0U,	// MOVSDto64rr
7996    0U,	// MOVSHDUPrm
7997    0U,	// MOVSHDUPrr
7998    0U,	// MOVSL
7999    0U,	// MOVSLDUPrm
8000    0U,	// MOVSLDUPrr
8001    0U,	// MOVSQ
8002    0U,	// MOVSS2DImr
8003    0U,	// MOVSS2DIrr
8004    0U,	// MOVSSmr
8005    0U,	// MOVSSrm
8006    0U,	// MOVSSrr
8007    0U,	// MOVSSrr_REV
8008    0U,	// MOVSW
8009    0U,	// MOVSX16rm8
8010    0U,	// MOVSX16rr8
8011    0U,	// MOVSX32rm16
8012    0U,	// MOVSX32rm8
8013    0U,	// MOVSX32rr16
8014    0U,	// MOVSX32rr8
8015    0U,	// MOVSX64_NOREXrr32
8016    0U,	// MOVSX64rm16
8017    0U,	// MOVSX64rm32
8018    0U,	// MOVSX64rm8
8019    0U,	// MOVSX64rr16
8020    0U,	// MOVSX64rr32
8021    0U,	// MOVSX64rr8
8022    0U,	// MOVUPDmr
8023    0U,	// MOVUPDrm
8024    0U,	// MOVUPDrr
8025    0U,	// MOVUPDrr_REV
8026    0U,	// MOVUPSmr
8027    0U,	// MOVUPSrm
8028    0U,	// MOVUPSrr
8029    0U,	// MOVUPSrr_REV
8030    0U,	// MOVZPQILo2PQIrm
8031    0U,	// MOVZPQILo2PQIrr
8032    0U,	// MOVZQI2PQIrm
8033    0U,	// MOVZQI2PQIrr
8034    0U,	// MOVZX16rm8
8035    0U,	// MOVZX16rr8
8036    0U,	// MOVZX32_NOREXrm8
8037    0U,	// MOVZX32_NOREXrr8
8038    0U,	// MOVZX32rm16
8039    0U,	// MOVZX32rm8
8040    0U,	// MOVZX32rr16
8041    0U,	// MOVZX32rr8
8042    0U,	// MOVZX64rm16_Q
8043    0U,	// MOVZX64rm8_Q
8044    0U,	// MOVZX64rr16_Q
8045    0U,	// MOVZX64rr8_Q
8046    32U,	// MPSADBWrmi
8047    40U,	// MPSADBWrri
8048    0U,	// MUL16m
8049    0U,	// MUL16r
8050    0U,	// MUL32m
8051    0U,	// MUL32r
8052    0U,	// MUL64m
8053    0U,	// MUL64r
8054    0U,	// MUL8m
8055    0U,	// MUL8r
8056    0U,	// MULPDrm
8057    0U,	// MULPDrr
8058    0U,	// MULPSrm
8059    0U,	// MULPSrr
8060    0U,	// MULSDrm
8061    0U,	// MULSDrm_Int
8062    0U,	// MULSDrr
8063    0U,	// MULSDrr_Int
8064    0U,	// MULSSrm
8065    0U,	// MULSSrm_Int
8066    0U,	// MULSSrr
8067    0U,	// MULSSrr_Int
8068    16U,	// MULX32rm
8069    8U,	// MULX32rr
8070    24U,	// MULX64rm
8071    8U,	// MULX64rr
8072    0U,	// MUL_F32m
8073    0U,	// MUL_F64m
8074    0U,	// MUL_FI16m
8075    0U,	// MUL_FI32m
8076    0U,	// MUL_FPrST0
8077    0U,	// MUL_FST0r
8078    0U,	// MUL_Fp32
8079    0U,	// MUL_Fp32m
8080    0U,	// MUL_Fp64
8081    0U,	// MUL_Fp64m
8082    0U,	// MUL_Fp64m32
8083    0U,	// MUL_Fp80
8084    0U,	// MUL_Fp80m32
8085    0U,	// MUL_Fp80m64
8086    0U,	// MUL_FpI16m32
8087    0U,	// MUL_FpI16m64
8088    0U,	// MUL_FpI16m80
8089    0U,	// MUL_FpI32m32
8090    0U,	// MUL_FpI32m64
8091    0U,	// MUL_FpI32m80
8092    0U,	// MUL_FrST0
8093    0U,	// MWAITrr
8094    0U,	// NEG16m
8095    0U,	// NEG16r
8096    0U,	// NEG32m
8097    0U,	// NEG32r
8098    0U,	// NEG64m
8099    0U,	// NEG64r
8100    0U,	// NEG8m
8101    0U,	// NEG8r
8102    0U,	// NOOP
8103    0U,	// NOOP18_16m4
8104    0U,	// NOOP18_16m5
8105    0U,	// NOOP18_16m6
8106    0U,	// NOOP18_16m7
8107    0U,	// NOOP18_16r4
8108    0U,	// NOOP18_16r5
8109    0U,	// NOOP18_16r6
8110    0U,	// NOOP18_16r7
8111    0U,	// NOOP18_m4
8112    0U,	// NOOP18_m5
8113    0U,	// NOOP18_m6
8114    0U,	// NOOP18_m7
8115    0U,	// NOOP18_r4
8116    0U,	// NOOP18_r5
8117    0U,	// NOOP18_r6
8118    0U,	// NOOP18_r7
8119    0U,	// NOOP19rr
8120    0U,	// NOOPL
8121    0U,	// NOOPL_19
8122    0U,	// NOOPL_1a
8123    0U,	// NOOPL_1b
8124    0U,	// NOOPL_1c
8125    0U,	// NOOPL_1d
8126    0U,	// NOOPL_1e
8127    0U,	// NOOPW
8128    0U,	// NOOPW_19
8129    0U,	// NOOPW_1a
8130    0U,	// NOOPW_1b
8131    0U,	// NOOPW_1c
8132    0U,	// NOOPW_1d
8133    0U,	// NOOPW_1e
8134    0U,	// NOT16m
8135    0U,	// NOT16r
8136    0U,	// NOT32m
8137    0U,	// NOT32r
8138    0U,	// NOT64m
8139    0U,	// NOT64r
8140    0U,	// NOT8m
8141    0U,	// NOT8r
8142    0U,	// OR16i16
8143    0U,	// OR16mi
8144    0U,	// OR16mi8
8145    0U,	// OR16mr
8146    0U,	// OR16ri
8147    0U,	// OR16ri8
8148    0U,	// OR16rm
8149    0U,	// OR16rr
8150    0U,	// OR16rr_REV
8151    0U,	// OR32i32
8152    0U,	// OR32mi
8153    0U,	// OR32mi8
8154    0U,	// OR32mr
8155    0U,	// OR32mrLocked
8156    0U,	// OR32ri
8157    0U,	// OR32ri8
8158    0U,	// OR32rm
8159    0U,	// OR32rr
8160    0U,	// OR32rr_REV
8161    0U,	// OR64i32
8162    0U,	// OR64mi32
8163    0U,	// OR64mi8
8164    0U,	// OR64mr
8165    0U,	// OR64ri32
8166    0U,	// OR64ri8
8167    0U,	// OR64rm
8168    0U,	// OR64rr
8169    0U,	// OR64rr_REV
8170    0U,	// OR8i8
8171    0U,	// OR8mi
8172    0U,	// OR8mr
8173    0U,	// OR8ri
8174    0U,	// OR8ri8
8175    0U,	// OR8rm
8176    0U,	// OR8rr
8177    0U,	// OR8rr_REV
8178    0U,	// ORPDrm
8179    0U,	// ORPDrr
8180    0U,	// ORPSrm
8181    0U,	// ORPSrr
8182    0U,	// OUT16ir
8183    0U,	// OUT16rr
8184    0U,	// OUT32ir
8185    0U,	// OUT32rr
8186    0U,	// OUT8ir
8187    0U,	// OUT8rr
8188    0U,	// OUTSB
8189    0U,	// OUTSL
8190    0U,	// OUTSW
8191    0U,	// PABSBrm128
8192    0U,	// PABSBrr128
8193    0U,	// PABSDrm128
8194    0U,	// PABSDrr128
8195    0U,	// PABSWrm128
8196    0U,	// PABSWrr128
8197    0U,	// PACKSSDWrm
8198    0U,	// PACKSSDWrr
8199    0U,	// PACKSSWBrm
8200    0U,	// PACKSSWBrr
8201    0U,	// PACKUSDWrm
8202    0U,	// PACKUSDWrr
8203    0U,	// PACKUSWBrm
8204    0U,	// PACKUSWBrr
8205    0U,	// PADDBrm
8206    0U,	// PADDBrr
8207    0U,	// PADDDrm
8208    0U,	// PADDDrr
8209    0U,	// PADDQrm
8210    0U,	// PADDQrr
8211    0U,	// PADDSBrm
8212    0U,	// PADDSBrr
8213    0U,	// PADDSWrm
8214    0U,	// PADDSWrr
8215    0U,	// PADDUSBrm
8216    0U,	// PADDUSBrr
8217    0U,	// PADDUSWrm
8218    0U,	// PADDUSWrr
8219    0U,	// PADDWrm
8220    0U,	// PADDWrr
8221    32U,	// PALIGNR128rm
8222    40U,	// PALIGNR128rr
8223    0U,	// PANDNrm
8224    0U,	// PANDNrr
8225    0U,	// PANDrm
8226    0U,	// PANDrr
8227    0U,	// PAUSE
8228    0U,	// PAVGBrm
8229    0U,	// PAVGBrr
8230    0U,	// PAVGUSBrm
8231    0U,	// PAVGUSBrr
8232    0U,	// PAVGWrm
8233    0U,	// PAVGWrr
8234    0U,	// PBLENDVBrm0
8235    0U,	// PBLENDVBrr0
8236    32U,	// PBLENDWrmi
8237    40U,	// PBLENDWrri
8238    32U,	// PCLMULQDQrm
8239    40U,	// PCLMULQDQrr
8240    0U,	// PCMPEQBrm
8241    0U,	// PCMPEQBrr
8242    0U,	// PCMPEQDrm
8243    0U,	// PCMPEQDrr
8244    0U,	// PCMPEQQrm
8245    0U,	// PCMPEQQrr
8246    0U,	// PCMPEQWrm
8247    0U,	// PCMPEQWrr
8248    0U,	// PCMPESTRIMEM
8249    0U,	// PCMPESTRIREG
8250    0U,	// PCMPESTRIrm
8251    8U,	// PCMPESTRIrr
8252    0U,	// PCMPESTRM128MEM
8253    0U,	// PCMPESTRM128REG
8254    0U,	// PCMPESTRM128rm
8255    8U,	// PCMPESTRM128rr
8256    0U,	// PCMPGTBrm
8257    0U,	// PCMPGTBrr
8258    0U,	// PCMPGTDrm
8259    0U,	// PCMPGTDrr
8260    0U,	// PCMPGTQrm
8261    0U,	// PCMPGTQrr
8262    0U,	// PCMPGTWrm
8263    0U,	// PCMPGTWrr
8264    0U,	// PCMPISTRIMEM
8265    0U,	// PCMPISTRIREG
8266    0U,	// PCMPISTRIrm
8267    8U,	// PCMPISTRIrr
8268    0U,	// PCMPISTRM128MEM
8269    0U,	// PCMPISTRM128REG
8270    0U,	// PCMPISTRM128rm
8271    8U,	// PCMPISTRM128rr
8272    16U,	// PDEP32rm
8273    8U,	// PDEP32rr
8274    24U,	// PDEP64rm
8275    8U,	// PDEP64rr
8276    16U,	// PEXT32rm
8277    8U,	// PEXT32rr
8278    24U,	// PEXT64rm
8279    8U,	// PEXT64rr
8280    0U,	// PEXTRBmr
8281    8U,	// PEXTRBrr
8282    0U,	// PEXTRDmr
8283    8U,	// PEXTRDrr
8284    0U,	// PEXTRQmr
8285    8U,	// PEXTRQrr
8286    0U,	// PEXTRWmr
8287    8U,	// PEXTRWri
8288    8U,	// PEXTRWrr_REV
8289    0U,	// PF2IDrm
8290    0U,	// PF2IDrr
8291    0U,	// PF2IWrm
8292    0U,	// PF2IWrr
8293    0U,	// PFACCrm
8294    0U,	// PFACCrr
8295    0U,	// PFADDrm
8296    0U,	// PFADDrr
8297    0U,	// PFCMPEQrm
8298    0U,	// PFCMPEQrr
8299    0U,	// PFCMPGErm
8300    0U,	// PFCMPGErr
8301    0U,	// PFCMPGTrm
8302    0U,	// PFCMPGTrr
8303    0U,	// PFMAXrm
8304    0U,	// PFMAXrr
8305    0U,	// PFMINrm
8306    0U,	// PFMINrr
8307    0U,	// PFMULrm
8308    0U,	// PFMULrr
8309    0U,	// PFNACCrm
8310    0U,	// PFNACCrr
8311    0U,	// PFPNACCrm
8312    0U,	// PFPNACCrr
8313    0U,	// PFRCPIT1rm
8314    0U,	// PFRCPIT1rr
8315    0U,	// PFRCPIT2rm
8316    0U,	// PFRCPIT2rr
8317    0U,	// PFRCPrm
8318    0U,	// PFRCPrr
8319    0U,	// PFRSQIT1rm
8320    0U,	// PFRSQIT1rr
8321    0U,	// PFRSQRTrm
8322    0U,	// PFRSQRTrr
8323    0U,	// PFSUBRrm
8324    0U,	// PFSUBRrr
8325    0U,	// PFSUBrm
8326    0U,	// PFSUBrr
8327    0U,	// PHADDDrm
8328    0U,	// PHADDDrr
8329    0U,	// PHADDSWrm128
8330    0U,	// PHADDSWrr128
8331    0U,	// PHADDWrm
8332    0U,	// PHADDWrr
8333    0U,	// PHMINPOSUWrm128
8334    0U,	// PHMINPOSUWrr128
8335    0U,	// PHSUBDrm
8336    0U,	// PHSUBDrr
8337    0U,	// PHSUBSWrm128
8338    0U,	// PHSUBSWrr128
8339    0U,	// PHSUBWrm
8340    0U,	// PHSUBWrr
8341    0U,	// PI2FDrm
8342    0U,	// PI2FDrr
8343    0U,	// PI2FWrm
8344    0U,	// PI2FWrr
8345    32U,	// PINSRBrm
8346    40U,	// PINSRBrr
8347    32U,	// PINSRDrm
8348    40U,	// PINSRDrr
8349    32U,	// PINSRQrm
8350    40U,	// PINSRQrr
8351    32U,	// PINSRWrmi
8352    40U,	// PINSRWrri
8353    0U,	// PMADDUBSWrm128
8354    0U,	// PMADDUBSWrr128
8355    0U,	// PMADDWDrm
8356    0U,	// PMADDWDrr
8357    0U,	// PMAXSBrm
8358    0U,	// PMAXSBrr
8359    0U,	// PMAXSDrm
8360    0U,	// PMAXSDrr
8361    0U,	// PMAXSWrm
8362    0U,	// PMAXSWrr
8363    0U,	// PMAXUBrm
8364    0U,	// PMAXUBrr
8365    0U,	// PMAXUDrm
8366    0U,	// PMAXUDrr
8367    0U,	// PMAXUWrm
8368    0U,	// PMAXUWrr
8369    0U,	// PMINSBrm
8370    0U,	// PMINSBrr
8371    0U,	// PMINSDrm
8372    0U,	// PMINSDrr
8373    0U,	// PMINSWrm
8374    0U,	// PMINSWrr
8375    0U,	// PMINUBrm
8376    0U,	// PMINUBrr
8377    0U,	// PMINUDrm
8378    0U,	// PMINUDrr
8379    0U,	// PMINUWrm
8380    0U,	// PMINUWrr
8381    0U,	// PMOVMSKBrr
8382    0U,	// PMOVSXBDrm
8383    0U,	// PMOVSXBDrr
8384    0U,	// PMOVSXBQrm
8385    0U,	// PMOVSXBQrr
8386    0U,	// PMOVSXBWrm
8387    0U,	// PMOVSXBWrr
8388    0U,	// PMOVSXDQrm
8389    0U,	// PMOVSXDQrr
8390    0U,	// PMOVSXWDrm
8391    0U,	// PMOVSXWDrr
8392    0U,	// PMOVSXWQrm
8393    0U,	// PMOVSXWQrr
8394    0U,	// PMOVZXBDrm
8395    0U,	// PMOVZXBDrr
8396    0U,	// PMOVZXBQrm
8397    0U,	// PMOVZXBQrr
8398    0U,	// PMOVZXBWrm
8399    0U,	// PMOVZXBWrr
8400    0U,	// PMOVZXDQrm
8401    0U,	// PMOVZXDQrr
8402    0U,	// PMOVZXWDrm
8403    0U,	// PMOVZXWDrr
8404    0U,	// PMOVZXWQrm
8405    0U,	// PMOVZXWQrr
8406    0U,	// PMULDQrm
8407    0U,	// PMULDQrr
8408    0U,	// PMULHRSWrm128
8409    0U,	// PMULHRSWrr128
8410    0U,	// PMULHRWrm
8411    0U,	// PMULHRWrr
8412    0U,	// PMULHUWrm
8413    0U,	// PMULHUWrr
8414    0U,	// PMULHWrm
8415    0U,	// PMULHWrr
8416    0U,	// PMULLDrm
8417    0U,	// PMULLDrr
8418    0U,	// PMULLWrm
8419    0U,	// PMULLWrr
8420    0U,	// PMULUDQrm
8421    0U,	// PMULUDQrr
8422    0U,	// POP16r
8423    0U,	// POP16rmm
8424    0U,	// POP16rmr
8425    0U,	// POP32r
8426    0U,	// POP32rmm
8427    0U,	// POP32rmr
8428    0U,	// POP64r
8429    0U,	// POP64rmm
8430    0U,	// POP64rmr
8431    0U,	// POPA16
8432    0U,	// POPA32
8433    0U,	// POPCNT16rm
8434    0U,	// POPCNT16rr
8435    0U,	// POPCNT32rm
8436    0U,	// POPCNT32rr
8437    0U,	// POPCNT64rm
8438    0U,	// POPCNT64rr
8439    0U,	// POPDS16
8440    0U,	// POPDS32
8441    0U,	// POPES16
8442    0U,	// POPES32
8443    0U,	// POPF16
8444    0U,	// POPF32
8445    0U,	// POPF64
8446    0U,	// POPFS16
8447    0U,	// POPFS32
8448    0U,	// POPFS64
8449    0U,	// POPGS16
8450    0U,	// POPGS32
8451    0U,	// POPGS64
8452    0U,	// POPSS16
8453    0U,	// POPSS32
8454    0U,	// PORrm
8455    0U,	// PORrr
8456    0U,	// PREFETCH
8457    0U,	// PREFETCHNTA
8458    0U,	// PREFETCHT0
8459    0U,	// PREFETCHT1
8460    0U,	// PREFETCHT2
8461    0U,	// PREFETCHW
8462    0U,	// PSADBWrm
8463    0U,	// PSADBWrr
8464    0U,	// PSHUFBrm
8465    0U,	// PSHUFBrr
8466    0U,	// PSHUFDmi
8467    8U,	// PSHUFDri
8468    0U,	// PSHUFHWmi
8469    8U,	// PSHUFHWri
8470    0U,	// PSHUFLWmi
8471    8U,	// PSHUFLWri
8472    0U,	// PSIGNBrm
8473    0U,	// PSIGNBrr
8474    0U,	// PSIGNDrm
8475    0U,	// PSIGNDrr
8476    0U,	// PSIGNWrm
8477    0U,	// PSIGNWrr
8478    0U,	// PSLLDQri
8479    0U,	// PSLLDri
8480    0U,	// PSLLDrm
8481    0U,	// PSLLDrr
8482    0U,	// PSLLQri
8483    0U,	// PSLLQrm
8484    0U,	// PSLLQrr
8485    0U,	// PSLLWri
8486    0U,	// PSLLWrm
8487    0U,	// PSLLWrr
8488    0U,	// PSRADri
8489    0U,	// PSRADrm
8490    0U,	// PSRADrr
8491    0U,	// PSRAWri
8492    0U,	// PSRAWrm
8493    0U,	// PSRAWrr
8494    0U,	// PSRLDQri
8495    0U,	// PSRLDri
8496    0U,	// PSRLDrm
8497    0U,	// PSRLDrr
8498    0U,	// PSRLQri
8499    0U,	// PSRLQrm
8500    0U,	// PSRLQrr
8501    0U,	// PSRLWri
8502    0U,	// PSRLWrm
8503    0U,	// PSRLWrr
8504    0U,	// PSUBBrm
8505    0U,	// PSUBBrr
8506    0U,	// PSUBDrm
8507    0U,	// PSUBDrr
8508    0U,	// PSUBQrm
8509    0U,	// PSUBQrr
8510    0U,	// PSUBSBrm
8511    0U,	// PSUBSBrr
8512    0U,	// PSUBSWrm
8513    0U,	// PSUBSWrr
8514    0U,	// PSUBUSBrm
8515    0U,	// PSUBUSBrr
8516    0U,	// PSUBUSWrm
8517    0U,	// PSUBUSWrr
8518    0U,	// PSUBWrm
8519    0U,	// PSUBWrr
8520    0U,	// PSWAPDrm
8521    0U,	// PSWAPDrr
8522    0U,	// PTESTrm
8523    0U,	// PTESTrr
8524    0U,	// PUNPCKHBWrm
8525    0U,	// PUNPCKHBWrr
8526    0U,	// PUNPCKHDQrm
8527    0U,	// PUNPCKHDQrr
8528    0U,	// PUNPCKHQDQrm
8529    0U,	// PUNPCKHQDQrr
8530    0U,	// PUNPCKHWDrm
8531    0U,	// PUNPCKHWDrr
8532    0U,	// PUNPCKLBWrm
8533    0U,	// PUNPCKLBWrr
8534    0U,	// PUNPCKLDQrm
8535    0U,	// PUNPCKLDQrr
8536    0U,	// PUNPCKLQDQrm
8537    0U,	// PUNPCKLQDQrr
8538    0U,	// PUNPCKLWDrm
8539    0U,	// PUNPCKLWDrr
8540    0U,	// PUSH16i8
8541    0U,	// PUSH16r
8542    0U,	// PUSH16rmm
8543    0U,	// PUSH16rmr
8544    0U,	// PUSH32i8
8545    0U,	// PUSH32r
8546    0U,	// PUSH32rmm
8547    0U,	// PUSH32rmr
8548    0U,	// PUSH64i16
8549    0U,	// PUSH64i32
8550    0U,	// PUSH64i8
8551    0U,	// PUSH64r
8552    0U,	// PUSH64rmm
8553    0U,	// PUSH64rmr
8554    0U,	// PUSHA16
8555    0U,	// PUSHA32
8556    0U,	// PUSHCS16
8557    0U,	// PUSHCS32
8558    0U,	// PUSHDS16
8559    0U,	// PUSHDS32
8560    0U,	// PUSHES16
8561    0U,	// PUSHES32
8562    0U,	// PUSHF16
8563    0U,	// PUSHF32
8564    0U,	// PUSHF64
8565    0U,	// PUSHFS16
8566    0U,	// PUSHFS32
8567    0U,	// PUSHFS64
8568    0U,	// PUSHGS16
8569    0U,	// PUSHGS32
8570    0U,	// PUSHGS64
8571    0U,	// PUSHSS16
8572    0U,	// PUSHSS32
8573    0U,	// PUSHi16
8574    0U,	// PUSHi32
8575    0U,	// PXORrm
8576    0U,	// PXORrr
8577    0U,	// RCL16m1
8578    0U,	// RCL16mCL
8579    0U,	// RCL16mi
8580    0U,	// RCL16r1
8581    0U,	// RCL16rCL
8582    0U,	// RCL16ri
8583    0U,	// RCL32m1
8584    0U,	// RCL32mCL
8585    0U,	// RCL32mi
8586    0U,	// RCL32r1
8587    0U,	// RCL32rCL
8588    0U,	// RCL32ri
8589    0U,	// RCL64m1
8590    0U,	// RCL64mCL
8591    0U,	// RCL64mi
8592    0U,	// RCL64r1
8593    0U,	// RCL64rCL
8594    0U,	// RCL64ri
8595    0U,	// RCL8m1
8596    0U,	// RCL8mCL
8597    0U,	// RCL8mi
8598    0U,	// RCL8r1
8599    0U,	// RCL8rCL
8600    0U,	// RCL8ri
8601    0U,	// RCPPSm
8602    0U,	// RCPPSm_Int
8603    0U,	// RCPPSr
8604    0U,	// RCPPSr_Int
8605    0U,	// RCPSSm
8606    0U,	// RCPSSm_Int
8607    0U,	// RCPSSr
8608    0U,	// RCPSSr_Int
8609    0U,	// RCR16m1
8610    0U,	// RCR16mCL
8611    0U,	// RCR16mi
8612    0U,	// RCR16r1
8613    0U,	// RCR16rCL
8614    0U,	// RCR16ri
8615    0U,	// RCR32m1
8616    0U,	// RCR32mCL
8617    0U,	// RCR32mi
8618    0U,	// RCR32r1
8619    0U,	// RCR32rCL
8620    0U,	// RCR32ri
8621    0U,	// RCR64m1
8622    0U,	// RCR64mCL
8623    0U,	// RCR64mi
8624    0U,	// RCR64r1
8625    0U,	// RCR64rCL
8626    0U,	// RCR64ri
8627    0U,	// RCR8m1
8628    0U,	// RCR8mCL
8629    0U,	// RCR8mi
8630    0U,	// RCR8r1
8631    0U,	// RCR8rCL
8632    0U,	// RCR8ri
8633    0U,	// RDFSBASE
8634    0U,	// RDFSBASE64
8635    0U,	// RDGSBASE
8636    0U,	// RDGSBASE64
8637    0U,	// RDMSR
8638    0U,	// RDPMC
8639    0U,	// RDRAND16r
8640    0U,	// RDRAND32r
8641    0U,	// RDRAND64r
8642    0U,	// RDSEED16r
8643    0U,	// RDSEED32r
8644    0U,	// RDSEED64r
8645    0U,	// RDTSC
8646    0U,	// RDTSCP
8647    0U,	// RELEASE_MOV16mr
8648    0U,	// RELEASE_MOV32mr
8649    0U,	// RELEASE_MOV64mr
8650    0U,	// RELEASE_MOV8mr
8651    0U,	// REPNE_PREFIX
8652    0U,	// REP_MOVSB_32
8653    0U,	// REP_MOVSB_64
8654    0U,	// REP_MOVSD_32
8655    0U,	// REP_MOVSD_64
8656    0U,	// REP_MOVSQ_64
8657    0U,	// REP_MOVSW_32
8658    0U,	// REP_MOVSW_64
8659    0U,	// REP_PREFIX
8660    0U,	// REP_STOSB_32
8661    0U,	// REP_STOSB_64
8662    0U,	// REP_STOSD_32
8663    0U,	// REP_STOSD_64
8664    0U,	// REP_STOSQ_64
8665    0U,	// REP_STOSW_32
8666    0U,	// REP_STOSW_64
8667    0U,	// RETIL
8668    0U,	// RETIQ
8669    0U,	// RETIW
8670    0U,	// RETL
8671    0U,	// RETQ
8672    0U,	// RETW
8673    0U,	// REX64_PREFIX
8674    0U,	// ROL16m1
8675    0U,	// ROL16mCL
8676    0U,	// ROL16mi
8677    0U,	// ROL16r1
8678    0U,	// ROL16rCL
8679    0U,	// ROL16ri
8680    0U,	// ROL32m1
8681    0U,	// ROL32mCL
8682    0U,	// ROL32mi
8683    0U,	// ROL32r1
8684    0U,	// ROL32rCL
8685    0U,	// ROL32ri
8686    0U,	// ROL64m1
8687    0U,	// ROL64mCL
8688    0U,	// ROL64mi
8689    0U,	// ROL64r1
8690    0U,	// ROL64rCL
8691    0U,	// ROL64ri
8692    0U,	// ROL8m1
8693    0U,	// ROL8mCL
8694    0U,	// ROL8mi
8695    0U,	// ROL8r1
8696    0U,	// ROL8rCL
8697    0U,	// ROL8ri
8698    0U,	// ROR16m1
8699    0U,	// ROR16mCL
8700    0U,	// ROR16mi
8701    0U,	// ROR16r1
8702    0U,	// ROR16rCL
8703    0U,	// ROR16ri
8704    0U,	// ROR32m1
8705    0U,	// ROR32mCL
8706    0U,	// ROR32mi
8707    0U,	// ROR32r1
8708    0U,	// ROR32rCL
8709    0U,	// ROR32ri
8710    0U,	// ROR64m1
8711    0U,	// ROR64mCL
8712    0U,	// ROR64mi
8713    0U,	// ROR64r1
8714    0U,	// ROR64rCL
8715    0U,	// ROR64ri
8716    0U,	// ROR8m1
8717    0U,	// ROR8mCL
8718    0U,	// ROR8mi
8719    0U,	// ROR8r1
8720    0U,	// ROR8rCL
8721    0U,	// ROR8ri
8722    0U,	// RORX32mi
8723    8U,	// RORX32ri
8724    0U,	// RORX64mi
8725    8U,	// RORX64ri
8726    0U,	// ROUNDPDm
8727    8U,	// ROUNDPDr
8728    0U,	// ROUNDPSm
8729    8U,	// ROUNDPSr
8730    32U,	// ROUNDSDm
8731    40U,	// ROUNDSDr
8732    40U,	// ROUNDSDr_Int
8733    32U,	// ROUNDSSm
8734    40U,	// ROUNDSSr
8735    40U,	// ROUNDSSr_Int
8736    0U,	// RSM
8737    0U,	// RSQRTPSm
8738    0U,	// RSQRTPSm_Int
8739    0U,	// RSQRTPSr
8740    0U,	// RSQRTPSr_Int
8741    0U,	// RSQRTSSm
8742    0U,	// RSQRTSSm_Int
8743    0U,	// RSQRTSSr
8744    0U,	// RSQRTSSr_Int
8745    0U,	// SAHF
8746    0U,	// SAL16m1
8747    0U,	// SAL16mCL
8748    0U,	// SAL16mi
8749    0U,	// SAL16r1
8750    0U,	// SAL16rCL
8751    0U,	// SAL16ri
8752    0U,	// SAL32m1
8753    0U,	// SAL32mCL
8754    0U,	// SAL32mi
8755    0U,	// SAL32r1
8756    0U,	// SAL32rCL
8757    0U,	// SAL32ri
8758    0U,	// SAL64m1
8759    0U,	// SAL64mCL
8760    0U,	// SAL64mi
8761    0U,	// SAL64r1
8762    0U,	// SAL64rCL
8763    0U,	// SAL64ri
8764    0U,	// SAL8m1
8765    0U,	// SAL8mCL
8766    0U,	// SAL8mi
8767    0U,	// SAL8r1
8768    0U,	// SAL8rCL
8769    0U,	// SAL8ri
8770    0U,	// SALC
8771    0U,	// SAR16m1
8772    0U,	// SAR16mCL
8773    0U,	// SAR16mi
8774    0U,	// SAR16r1
8775    0U,	// SAR16rCL
8776    0U,	// SAR16ri
8777    0U,	// SAR32m1
8778    0U,	// SAR32mCL
8779    0U,	// SAR32mi
8780    0U,	// SAR32r1
8781    0U,	// SAR32rCL
8782    0U,	// SAR32ri
8783    0U,	// SAR64m1
8784    0U,	// SAR64mCL
8785    0U,	// SAR64mi
8786    0U,	// SAR64r1
8787    0U,	// SAR64rCL
8788    0U,	// SAR64ri
8789    0U,	// SAR8m1
8790    0U,	// SAR8mCL
8791    0U,	// SAR8mi
8792    0U,	// SAR8r1
8793    0U,	// SAR8rCL
8794    0U,	// SAR8ri
8795    0U,	// SARX32rm
8796    8U,	// SARX32rr
8797    0U,	// SARX64rm
8798    8U,	// SARX64rr
8799    0U,	// SBB16i16
8800    0U,	// SBB16mi
8801    0U,	// SBB16mi8
8802    0U,	// SBB16mr
8803    0U,	// SBB16ri
8804    0U,	// SBB16ri8
8805    0U,	// SBB16rm
8806    0U,	// SBB16rr
8807    0U,	// SBB16rr_REV
8808    0U,	// SBB32i32
8809    0U,	// SBB32mi
8810    0U,	// SBB32mi8
8811    0U,	// SBB32mr
8812    0U,	// SBB32ri
8813    0U,	// SBB32ri8
8814    0U,	// SBB32rm
8815    0U,	// SBB32rr
8816    0U,	// SBB32rr_REV
8817    0U,	// SBB64i32
8818    0U,	// SBB64mi32
8819    0U,	// SBB64mi8
8820    0U,	// SBB64mr
8821    0U,	// SBB64ri32
8822    0U,	// SBB64ri8
8823    0U,	// SBB64rm
8824    0U,	// SBB64rr
8825    0U,	// SBB64rr_REV
8826    0U,	// SBB8i8
8827    0U,	// SBB8mi
8828    0U,	// SBB8mr
8829    0U,	// SBB8ri
8830    0U,	// SBB8rm
8831    0U,	// SBB8rr
8832    0U,	// SBB8rr_REV
8833    0U,	// SCASB
8834    0U,	// SCASL
8835    0U,	// SCASQ
8836    0U,	// SCASW
8837    0U,	// SEG_ALLOCA_32
8838    0U,	// SEG_ALLOCA_64
8839    0U,	// SEH_EndPrologue
8840    0U,	// SEH_Epilogue
8841    0U,	// SEH_PushFrame
8842    0U,	// SEH_PushReg
8843    0U,	// SEH_SaveReg
8844    0U,	// SEH_SaveXMM
8845    0U,	// SEH_SetFrame
8846    0U,	// SEH_StackAlloc
8847    0U,	// SETAEm
8848    0U,	// SETAEr
8849    0U,	// SETAm
8850    0U,	// SETAr
8851    0U,	// SETBEm
8852    0U,	// SETBEr
8853    0U,	// SETB_C16r
8854    0U,	// SETB_C32r
8855    0U,	// SETB_C64r
8856    0U,	// SETB_C8r
8857    0U,	// SETBm
8858    0U,	// SETBr
8859    0U,	// SETEm
8860    0U,	// SETEr
8861    0U,	// SETGEm
8862    0U,	// SETGEr
8863    0U,	// SETGm
8864    0U,	// SETGr
8865    0U,	// SETLEm
8866    0U,	// SETLEr
8867    0U,	// SETLm
8868    0U,	// SETLr
8869    0U,	// SETNEm
8870    0U,	// SETNEr
8871    0U,	// SETNOm
8872    0U,	// SETNOr
8873    0U,	// SETNPm
8874    0U,	// SETNPr
8875    0U,	// SETNSm
8876    0U,	// SETNSr
8877    0U,	// SETOm
8878    0U,	// SETOr
8879    0U,	// SETPm
8880    0U,	// SETPr
8881    0U,	// SETSm
8882    0U,	// SETSr
8883    0U,	// SFENCE
8884    0U,	// SGDT16m
8885    0U,	// SGDT32m
8886    0U,	// SGDT64m
8887    0U,	// SHA1MSG1rm
8888    0U,	// SHA1MSG1rr
8889    0U,	// SHA1MSG2rm
8890    0U,	// SHA1MSG2rr
8891    0U,	// SHA1NEXTErm
8892    0U,	// SHA1NEXTErr
8893    32U,	// SHA1RNDS4rmi
8894    40U,	// SHA1RNDS4rri
8895    0U,	// SHA256MSG1rm
8896    0U,	// SHA256MSG1rr
8897    0U,	// SHA256MSG2rm
8898    0U,	// SHA256MSG2rr
8899    0U,	// SHA256RNDS2rm
8900    0U,	// SHA256RNDS2rr
8901    0U,	// SHL16m1
8902    0U,	// SHL16mCL
8903    0U,	// SHL16mi
8904    0U,	// SHL16r1
8905    0U,	// SHL16rCL
8906    0U,	// SHL16ri
8907    0U,	// SHL32m1
8908    0U,	// SHL32mCL
8909    0U,	// SHL32mi
8910    0U,	// SHL32r1
8911    0U,	// SHL32rCL
8912    0U,	// SHL32ri
8913    0U,	// SHL64m1
8914    0U,	// SHL64mCL
8915    0U,	// SHL64mi
8916    0U,	// SHL64r1
8917    0U,	// SHL64rCL
8918    0U,	// SHL64ri
8919    0U,	// SHL8m1
8920    0U,	// SHL8mCL
8921    0U,	// SHL8mi
8922    0U,	// SHL8r1
8923    0U,	// SHL8rCL
8924    0U,	// SHL8ri
8925    1U,	// SHLD16mrCL
8926    0U,	// SHLD16mri8
8927    1U,	// SHLD16rrCL
8928    40U,	// SHLD16rri8
8929    1U,	// SHLD32mrCL
8930    0U,	// SHLD32mri8
8931    1U,	// SHLD32rrCL
8932    40U,	// SHLD32rri8
8933    1U,	// SHLD64mrCL
8934    0U,	// SHLD64mri8
8935    1U,	// SHLD64rrCL
8936    40U,	// SHLD64rri8
8937    0U,	// SHLX32rm
8938    8U,	// SHLX32rr
8939    0U,	// SHLX64rm
8940    8U,	// SHLX64rr
8941    0U,	// SHR16m1
8942    0U,	// SHR16mCL
8943    0U,	// SHR16mi
8944    0U,	// SHR16r1
8945    0U,	// SHR16rCL
8946    0U,	// SHR16ri
8947    0U,	// SHR32m1
8948    0U,	// SHR32mCL
8949    0U,	// SHR32mi
8950    0U,	// SHR32r1
8951    0U,	// SHR32rCL
8952    0U,	// SHR32ri
8953    0U,	// SHR64m1
8954    0U,	// SHR64mCL
8955    0U,	// SHR64mi
8956    0U,	// SHR64r1
8957    0U,	// SHR64rCL
8958    0U,	// SHR64ri
8959    0U,	// SHR8m1
8960    0U,	// SHR8mCL
8961    0U,	// SHR8mi
8962    0U,	// SHR8r1
8963    0U,	// SHR8rCL
8964    0U,	// SHR8ri
8965    1U,	// SHRD16mrCL
8966    0U,	// SHRD16mri8
8967    1U,	// SHRD16rrCL
8968    40U,	// SHRD16rri8
8969    1U,	// SHRD32mrCL
8970    0U,	// SHRD32mri8
8971    1U,	// SHRD32rrCL
8972    40U,	// SHRD32rri8
8973    1U,	// SHRD64mrCL
8974    0U,	// SHRD64mri8
8975    1U,	// SHRD64rrCL
8976    40U,	// SHRD64rri8
8977    0U,	// SHRX32rm
8978    8U,	// SHRX32rr
8979    0U,	// SHRX64rm
8980    8U,	// SHRX64rr
8981    32U,	// SHUFPDrmi
8982    40U,	// SHUFPDrri
8983    32U,	// SHUFPSrmi
8984    40U,	// SHUFPSrri
8985    0U,	// SIDT16m
8986    0U,	// SIDT32m
8987    0U,	// SIDT64m
8988    0U,	// SIN_F
8989    0U,	// SIN_Fp32
8990    0U,	// SIN_Fp64
8991    0U,	// SIN_Fp80
8992    0U,	// SKINIT
8993    0U,	// SLDT16m
8994    0U,	// SLDT16r
8995    0U,	// SLDT32r
8996    0U,	// SLDT64m
8997    0U,	// SLDT64r
8998    0U,	// SMSW16m
8999    0U,	// SMSW16r
9000    0U,	// SMSW32r
9001    0U,	// SMSW64r
9002    0U,	// SQRTPDm
9003    0U,	// SQRTPDr
9004    0U,	// SQRTPSm
9005    0U,	// SQRTPSr
9006    0U,	// SQRTSDm
9007    0U,	// SQRTSDm_Int
9008    0U,	// SQRTSDr
9009    0U,	// SQRTSDr_Int
9010    0U,	// SQRTSSm
9011    0U,	// SQRTSSm_Int
9012    0U,	// SQRTSSr
9013    0U,	// SQRTSSr_Int
9014    0U,	// SQRT_F
9015    0U,	// SQRT_Fp32
9016    0U,	// SQRT_Fp64
9017    0U,	// SQRT_Fp80
9018    0U,	// STAC
9019    0U,	// STC
9020    0U,	// STD
9021    0U,	// STGI
9022    0U,	// STI
9023    0U,	// STMXCSR
9024    0U,	// STOSB
9025    0U,	// STOSL
9026    0U,	// STOSQ
9027    0U,	// STOSW
9028    0U,	// STR16r
9029    0U,	// STR32r
9030    0U,	// STR64r
9031    0U,	// STRm
9032    0U,	// ST_F32m
9033    0U,	// ST_F64m
9034    0U,	// ST_FCOMPST0r
9035    0U,	// ST_FCOMPST0r_alt
9036    0U,	// ST_FCOMST0r
9037    0U,	// ST_FP32m
9038    0U,	// ST_FP64m
9039    0U,	// ST_FP80m
9040    0U,	// ST_FPNCEST0r
9041    0U,	// ST_FPST0r
9042    0U,	// ST_FPST0r_alt
9043    0U,	// ST_FPrr
9044    0U,	// ST_FXCHST0r
9045    0U,	// ST_FXCHST0r_alt
9046    0U,	// ST_Fp32m
9047    0U,	// ST_Fp64m
9048    0U,	// ST_Fp64m32
9049    0U,	// ST_Fp80m32
9050    0U,	// ST_Fp80m64
9051    0U,	// ST_FpP32m
9052    0U,	// ST_FpP64m
9053    0U,	// ST_FpP64m32
9054    0U,	// ST_FpP80m
9055    0U,	// ST_FpP80m32
9056    0U,	// ST_FpP80m64
9057    0U,	// ST_Frr
9058    0U,	// SUB16i16
9059    0U,	// SUB16mi
9060    0U,	// SUB16mi8
9061    0U,	// SUB16mr
9062    0U,	// SUB16ri
9063    0U,	// SUB16ri8
9064    0U,	// SUB16rm
9065    0U,	// SUB16rr
9066    0U,	// SUB16rr_REV
9067    0U,	// SUB32i32
9068    0U,	// SUB32mi
9069    0U,	// SUB32mi8
9070    0U,	// SUB32mr
9071    0U,	// SUB32ri
9072    0U,	// SUB32ri8
9073    0U,	// SUB32rm
9074    0U,	// SUB32rr
9075    0U,	// SUB32rr_REV
9076    0U,	// SUB64i32
9077    0U,	// SUB64mi32
9078    0U,	// SUB64mi8
9079    0U,	// SUB64mr
9080    0U,	// SUB64ri32
9081    0U,	// SUB64ri8
9082    0U,	// SUB64rm
9083    0U,	// SUB64rr
9084    0U,	// SUB64rr_REV
9085    0U,	// SUB8i8
9086    0U,	// SUB8mi
9087    0U,	// SUB8mr
9088    0U,	// SUB8ri
9089    0U,	// SUB8ri8
9090    0U,	// SUB8rm
9091    0U,	// SUB8rr
9092    0U,	// SUB8rr_REV
9093    0U,	// SUBPDrm
9094    0U,	// SUBPDrr
9095    0U,	// SUBPSrm
9096    0U,	// SUBPSrr
9097    0U,	// SUBR_F32m
9098    0U,	// SUBR_F64m
9099    0U,	// SUBR_FI16m
9100    0U,	// SUBR_FI32m
9101    0U,	// SUBR_FPrST0
9102    0U,	// SUBR_FST0r
9103    0U,	// SUBR_Fp32m
9104    0U,	// SUBR_Fp64m
9105    0U,	// SUBR_Fp64m32
9106    0U,	// SUBR_Fp80m32
9107    0U,	// SUBR_Fp80m64
9108    0U,	// SUBR_FpI16m32
9109    0U,	// SUBR_FpI16m64
9110    0U,	// SUBR_FpI16m80
9111    0U,	// SUBR_FpI32m32
9112    0U,	// SUBR_FpI32m64
9113    0U,	// SUBR_FpI32m80
9114    0U,	// SUBR_FrST0
9115    0U,	// SUBSDrm
9116    0U,	// SUBSDrm_Int
9117    0U,	// SUBSDrr
9118    0U,	// SUBSDrr_Int
9119    0U,	// SUBSSrm
9120    0U,	// SUBSSrm_Int
9121    0U,	// SUBSSrr
9122    0U,	// SUBSSrr_Int
9123    0U,	// SUB_F32m
9124    0U,	// SUB_F64m
9125    0U,	// SUB_FI16m
9126    0U,	// SUB_FI32m
9127    0U,	// SUB_FPrST0
9128    0U,	// SUB_FST0r
9129    0U,	// SUB_Fp32
9130    0U,	// SUB_Fp32m
9131    0U,	// SUB_Fp64
9132    0U,	// SUB_Fp64m
9133    0U,	// SUB_Fp64m32
9134    0U,	// SUB_Fp80
9135    0U,	// SUB_Fp80m32
9136    0U,	// SUB_Fp80m64
9137    0U,	// SUB_FpI16m32
9138    0U,	// SUB_FpI16m64
9139    0U,	// SUB_FpI16m80
9140    0U,	// SUB_FpI32m32
9141    0U,	// SUB_FpI32m64
9142    0U,	// SUB_FpI32m80
9143    0U,	// SUB_FrST0
9144    0U,	// SWAPGS
9145    0U,	// SYSCALL
9146    0U,	// SYSENTER
9147    0U,	// SYSEXIT
9148    0U,	// SYSEXIT64
9149    0U,	// SYSRET
9150    0U,	// SYSRET64
9151    0U,	// T1MSKC32rm
9152    0U,	// T1MSKC32rr
9153    0U,	// T1MSKC64rm
9154    0U,	// T1MSKC64rr
9155    0U,	// TAILJMPd
9156    0U,	// TAILJMPd64
9157    0U,	// TAILJMPm
9158    0U,	// TAILJMPm64
9159    0U,	// TAILJMPr
9160    0U,	// TAILJMPr64
9161    0U,	// TCRETURNdi
9162    0U,	// TCRETURNdi64
9163    0U,	// TCRETURNmi
9164    0U,	// TCRETURNmi64
9165    0U,	// TCRETURNri
9166    0U,	// TCRETURNri64
9167    0U,	// TEST16i16
9168    0U,	// TEST16mi
9169    0U,	// TEST16mi_alt
9170    0U,	// TEST16ri
9171    0U,	// TEST16ri_alt
9172    0U,	// TEST16rm
9173    0U,	// TEST16rr
9174    0U,	// TEST32i32
9175    0U,	// TEST32mi
9176    0U,	// TEST32mi_alt
9177    0U,	// TEST32ri
9178    0U,	// TEST32ri_alt
9179    0U,	// TEST32rm
9180    0U,	// TEST32rr
9181    0U,	// TEST64i32
9182    0U,	// TEST64mi32
9183    0U,	// TEST64mi32_alt
9184    0U,	// TEST64ri32
9185    0U,	// TEST64ri32_alt
9186    0U,	// TEST64rm
9187    0U,	// TEST64rr
9188    0U,	// TEST8i8
9189    0U,	// TEST8mi
9190    0U,	// TEST8mi_alt
9191    0U,	// TEST8ri
9192    0U,	// TEST8ri_NOREX
9193    0U,	// TEST8ri_alt
9194    0U,	// TEST8rm
9195    0U,	// TEST8rr
9196    0U,	// TLSCall_32
9197    0U,	// TLSCall_64
9198    0U,	// TLS_addr32
9199    0U,	// TLS_addr64
9200    0U,	// TLS_base_addr32
9201    0U,	// TLS_base_addr64
9202    0U,	// TRAP
9203    0U,	// TST_F
9204    0U,	// TST_Fp32
9205    0U,	// TST_Fp64
9206    0U,	// TST_Fp80
9207    0U,	// TZCNT16rm
9208    0U,	// TZCNT16rr
9209    0U,	// TZCNT32rm
9210    0U,	// TZCNT32rr
9211    0U,	// TZCNT64rm
9212    0U,	// TZCNT64rr
9213    0U,	// TZMSK32rm
9214    0U,	// TZMSK32rr
9215    0U,	// TZMSK64rm
9216    0U,	// TZMSK64rr
9217    0U,	// UCOMISDrm
9218    0U,	// UCOMISDrr
9219    0U,	// UCOMISSrm
9220    0U,	// UCOMISSrr
9221    0U,	// UCOM_FIPr
9222    0U,	// UCOM_FIr
9223    0U,	// UCOM_FPPr
9224    0U,	// UCOM_FPr
9225    0U,	// UCOM_FpIr32
9226    0U,	// UCOM_FpIr64
9227    0U,	// UCOM_FpIr80
9228    0U,	// UCOM_Fpr32
9229    0U,	// UCOM_Fpr64
9230    0U,	// UCOM_Fpr80
9231    0U,	// UCOM_Fr
9232    0U,	// UD2B
9233    0U,	// UNPCKHPDrm
9234    0U,	// UNPCKHPDrr
9235    0U,	// UNPCKHPSrm
9236    0U,	// UNPCKHPSrr
9237    0U,	// UNPCKLPDrm
9238    0U,	// UNPCKLPDrr
9239    0U,	// UNPCKLPSrm
9240    0U,	// UNPCKLPSrr
9241    35072U,	// VAARG_64
9242    64U,	// VADDPDYrm
9243    8U,	// VADDPDYrr
9244    72U,	// VADDPDZrm
9245    560U,	// VADDPDZrmb
9246    69898U,	// VADDPDZrmbk
9247    69898U,	// VADDPDZrmbkz
9248    6410U,	// VADDPDZrmk
9249    6410U,	// VADDPDZrmkz
9250    8U,	// VADDPDZrr
9251    8458U,	// VADDPDZrrk
9252    8458U,	// VADDPDZrrkz
9253    80U,	// VADDPDrm
9254    8U,	// VADDPDrr
9255    64U,	// VADDPSYrm
9256    8U,	// VADDPSYrr
9257    72U,	// VADDPSZrm
9258    824U,	// VADDPSZrmb
9259    108810U,	// VADDPSZrmbk
9260    108810U,	// VADDPSZrmbkz
9261    6410U,	// VADDPSZrmk
9262    6410U,	// VADDPSZrmkz
9263    8U,	// VADDPSZrr
9264    8458U,	// VADDPSZrrk
9265    8458U,	// VADDPSZrrkz
9266    80U,	// VADDPSrm
9267    8U,	// VADDPSrr
9268    48U,	// VADDSDZrm
9269    8U,	// VADDSDZrr
9270    48U,	// VADDSDrm
9271    48U,	// VADDSDrm_Int
9272    8U,	// VADDSDrr
9273    8U,	// VADDSDrr_Int
9274    56U,	// VADDSSZrm
9275    8U,	// VADDSSZrr
9276    56U,	// VADDSSrm
9277    56U,	// VADDSSrm_Int
9278    8U,	// VADDSSrr
9279    8U,	// VADDSSrr_Int
9280    64U,	// VADDSUBPDYrm
9281    8U,	// VADDSUBPDYrr
9282    80U,	// VADDSUBPDrm
9283    8U,	// VADDSUBPDrr
9284    64U,	// VADDSUBPSYrm
9285    8U,	// VADDSUBPSYrr
9286    80U,	// VADDSUBPSrm
9287    8U,	// VADDSUBPSrr
9288    88U,	// VAESDECLASTrm
9289    8U,	// VAESDECLASTrr
9290    88U,	// VAESDECrm
9291    8U,	// VAESDECrr
9292    88U,	// VAESENCLASTrm
9293    8U,	// VAESENCLASTrr
9294    88U,	// VAESENCrm
9295    8U,	// VAESENCrr
9296    0U,	// VAESIMCrm
9297    0U,	// VAESIMCrr
9298    0U,	// VAESKEYGENASSIST128rm
9299    8U,	// VAESKEYGENASSIST128rr
9300    2400U,	// VALIGNDrmi
9301    8456U,	// VALIGNDrri
9302    295210U,	// VALIGNDrrik
9303    565514U,	// VALIGNDrrikz
9304    2400U,	// VALIGNQrmi
9305    8456U,	// VALIGNQrri
9306    295210U,	// VALIGNQrrik
9307    565514U,	// VALIGNQrrikz
9308    64U,	// VANDNPDYrm
9309    8U,	// VANDNPDYrr
9310    80U,	// VANDNPDrm
9311    8U,	// VANDNPDrr
9312    64U,	// VANDNPSYrm
9313    8U,	// VANDNPSYrr
9314    80U,	// VANDNPSrm
9315    8U,	// VANDNPSrr
9316    64U,	// VANDPDYrm
9317    8U,	// VANDPDYrr
9318    80U,	// VANDPDrm
9319    8U,	// VANDPDrr
9320    64U,	// VANDPSYrm
9321    8U,	// VANDPSYrr
9322    80U,	// VANDPSrm
9323    8U,	// VANDPSrr
9324    8U,	// VASTART_SAVE_XMM_REGS
9325    6410U,	// VBLENDMPDZrm
9326    8458U,	// VBLENDMPDZrr
9327    6410U,	// VBLENDMPSZrm
9328    8458U,	// VBLENDMPSZrr
9329    2368U,	// VBLENDPDYrmi
9330    8456U,	// VBLENDPDYrri
9331    2384U,	// VBLENDPDrmi
9332    8456U,	// VBLENDPDrri
9333    2368U,	// VBLENDPSYrmi
9334    8456U,	// VBLENDPSYrri
9335    2384U,	// VBLENDPSrmi
9336    8456U,	// VBLENDPSrri
9337    2368U,	// VBLENDVPDYrm
9338    8456U,	// VBLENDVPDYrr
9339    2384U,	// VBLENDVPDrm
9340    8456U,	// VBLENDVPDrr
9341    2368U,	// VBLENDVPSYrm
9342    8456U,	// VBLENDVPSYrr
9343    2384U,	// VBLENDVPSrm
9344    8456U,	// VBLENDVPSrr
9345    0U,	// VBROADCASTF128
9346    0U,	// VBROADCASTI128
9347    90U,	// VBROADCASTI32X4krm
9348    0U,	// VBROADCASTI32X4rm
9349    106U,	// VBROADCASTI64X4krm
9350    0U,	// VBROADCASTI64X4rm
9351    0U,	// VBROADCASTSDYrm
9352    0U,	// VBROADCASTSDYrr
9353    0U,	// VBROADCASTSDZrm
9354    0U,	// VBROADCASTSDZrr
9355    0U,	// VBROADCASTSSYrm
9356    0U,	// VBROADCASTSSYrr
9357    0U,	// VBROADCASTSSZrm
9358    0U,	// VBROADCASTSSZrr
9359    0U,	// VBROADCASTSSrm
9360    0U,	// VBROADCASTSSrr
9361    64U,	// VCMPPDYrmi
9362    2368U,	// VCMPPDYrmi_alt
9363    8U,	// VCMPPDYrri
9364    8456U,	// VCMPPDYrri_alt
9365    0U,	// VCMPPDZrmi
9366    2376U,	// VCMPPDZrmi_alt
9367    0U,	// VCMPPDZrri
9368    8456U,	// VCMPPDZrri_alt
9369    3U,	// VCMPPDZrrib
9370    80U,	// VCMPPDrmi
9371    2384U,	// VCMPPDrmi_alt
9372    8U,	// VCMPPDrri
9373    8456U,	// VCMPPDrri_alt
9374    64U,	// VCMPPSYrmi
9375    2368U,	// VCMPPSYrmi_alt
9376    8U,	// VCMPPSYrri
9377    8456U,	// VCMPPSYrri_alt
9378    0U,	// VCMPPSZrmi
9379    2376U,	// VCMPPSZrmi_alt
9380    0U,	// VCMPPSZrri
9381    8456U,	// VCMPPSZrri_alt
9382    3U,	// VCMPPSZrrib
9383    80U,	// VCMPPSrmi
9384    2384U,	// VCMPPSrmi_alt
9385    8U,	// VCMPPSrri
9386    8456U,	// VCMPPSrri_alt
9387    48U,	// VCMPSDZrm
9388    2352U,	// VCMPSDZrmi_alt
9389    8U,	// VCMPSDZrr
9390    8456U,	// VCMPSDZrri_alt
9391    48U,	// VCMPSDrm
9392    2352U,	// VCMPSDrm_alt
9393    8U,	// VCMPSDrr
9394    8456U,	// VCMPSDrr_alt
9395    56U,	// VCMPSSZrm
9396    2360U,	// VCMPSSZrmi_alt
9397    8U,	// VCMPSSZrr
9398    8456U,	// VCMPSSZrri_alt
9399    56U,	// VCMPSSrm
9400    2360U,	// VCMPSSrm_alt
9401    8U,	// VCMPSSrr
9402    8456U,	// VCMPSSrr_alt
9403    0U,	// VCOMISDZrm
9404    0U,	// VCOMISDZrr
9405    0U,	// VCOMISDrm
9406    0U,	// VCOMISDrr
9407    0U,	// VCOMISSZrm
9408    0U,	// VCOMISSZrr
9409    0U,	// VCOMISSrm
9410    0U,	// VCOMISSrr
9411    0U,	// VCVTDQ2PDYrm
9412    0U,	// VCVTDQ2PDYrr
9413    0U,	// VCVTDQ2PDZrm
9414    0U,	// VCVTDQ2PDZrr
9415    0U,	// VCVTDQ2PDrm
9416    0U,	// VCVTDQ2PDrr
9417    0U,	// VCVTDQ2PSYrm
9418    0U,	// VCVTDQ2PSYrr
9419    0U,	// VCVTDQ2PSZrm
9420    0U,	// VCVTDQ2PSZrr
9421    112U,	// VCVTDQ2PSZrrb
9422    0U,	// VCVTDQ2PSrm
9423    0U,	// VCVTDQ2PSrr
9424    0U,	// VCVTPD2DQXrm
9425    0U,	// VCVTPD2DQYrm
9426    0U,	// VCVTPD2DQYrr
9427    0U,	// VCVTPD2DQZrm
9428    0U,	// VCVTPD2DQZrr
9429    112U,	// VCVTPD2DQZrrb
9430    0U,	// VCVTPD2DQrr
9431    0U,	// VCVTPD2PSXrm
9432    0U,	// VCVTPD2PSYrm
9433    0U,	// VCVTPD2PSYrr
9434    0U,	// VCVTPD2PSZrm
9435    0U,	// VCVTPD2PSZrr
9436    112U,	// VCVTPD2PSZrrb
9437    0U,	// VCVTPD2PSrr
9438    0U,	// VCVTPD2UDQZrm
9439    0U,	// VCVTPD2UDQZrr
9440    112U,	// VCVTPD2UDQZrrb
9441    0U,	// VCVTPH2PSYrm
9442    0U,	// VCVTPH2PSYrr
9443    0U,	// VCVTPH2PSZrm
9444    0U,	// VCVTPH2PSZrr
9445    0U,	// VCVTPH2PSrm
9446    0U,	// VCVTPH2PSrr
9447    0U,	// VCVTPS2DQYrm
9448    0U,	// VCVTPS2DQYrr
9449    0U,	// VCVTPS2DQZrm
9450    0U,	// VCVTPS2DQZrr
9451    112U,	// VCVTPS2DQZrrb
9452    0U,	// VCVTPS2DQrm
9453    0U,	// VCVTPS2DQrr
9454    0U,	// VCVTPS2PDYrm
9455    0U,	// VCVTPS2PDYrr
9456    0U,	// VCVTPS2PDZrm
9457    0U,	// VCVTPS2PDZrr
9458    0U,	// VCVTPS2PDrm
9459    0U,	// VCVTPS2PDrr
9460    0U,	// VCVTPS2PHYmr
9461    8U,	// VCVTPS2PHYrr
9462    0U,	// VCVTPS2PHZmr
9463    8U,	// VCVTPS2PHZrr
9464    0U,	// VCVTPS2PHmr
9465    8U,	// VCVTPS2PHrr
9466    0U,	// VCVTPS2UDQZrm
9467    0U,	// VCVTPS2UDQZrr
9468    112U,	// VCVTPS2UDQZrrb
9469    0U,	// VCVTSD2SI64Zrm
9470    0U,	// VCVTSD2SI64Zrr
9471    0U,	// VCVTSD2SI64rm
9472    0U,	// VCVTSD2SI64rr
9473    0U,	// VCVTSD2SIZrm
9474    0U,	// VCVTSD2SIZrr
9475    0U,	// VCVTSD2SIrm
9476    0U,	// VCVTSD2SIrr
9477    48U,	// VCVTSD2SSZrm
9478    8U,	// VCVTSD2SSZrr
9479    48U,	// VCVTSD2SSrm
9480    8U,	// VCVTSD2SSrr
9481    0U,	// VCVTSD2USI64Zrm
9482    0U,	// VCVTSD2USI64Zrr
9483    0U,	// VCVTSD2USIZrm
9484    0U,	// VCVTSD2USIZrr
9485    24U,	// VCVTSI2SD64rm
9486    8U,	// VCVTSI2SD64rr
9487    16U,	// VCVTSI2SDZrm
9488    8U,	// VCVTSI2SDZrr
9489    16U,	// VCVTSI2SDrm
9490    8U,	// VCVTSI2SDrr
9491    24U,	// VCVTSI2SS64rm
9492    8U,	// VCVTSI2SS64rr
9493    16U,	// VCVTSI2SSZrm
9494    8U,	// VCVTSI2SSZrr
9495    16U,	// VCVTSI2SSrm
9496    8U,	// VCVTSI2SSrr
9497    24U,	// VCVTSI642SDZrm
9498    8U,	// VCVTSI642SDZrr
9499    24U,	// VCVTSI642SSZrm
9500    8U,	// VCVTSI642SSZrr
9501    56U,	// VCVTSS2SDZrm
9502    8U,	// VCVTSS2SDZrr
9503    56U,	// VCVTSS2SDrm
9504    8U,	// VCVTSS2SDrr
9505    0U,	// VCVTSS2SI64Zrm
9506    0U,	// VCVTSS2SI64Zrr
9507    0U,	// VCVTSS2SI64rm
9508    0U,	// VCVTSS2SI64rr
9509    0U,	// VCVTSS2SIZrm
9510    0U,	// VCVTSS2SIZrr
9511    0U,	// VCVTSS2SIrm
9512    0U,	// VCVTSS2SIrr
9513    0U,	// VCVTSS2USI64Zrm
9514    0U,	// VCVTSS2USI64Zrr
9515    0U,	// VCVTSS2USIZrm
9516    0U,	// VCVTSS2USIZrr
9517    0U,	// VCVTTPD2DQXrm
9518    0U,	// VCVTTPD2DQYrm
9519    0U,	// VCVTTPD2DQYrr
9520    0U,	// VCVTTPD2DQZrm
9521    0U,	// VCVTTPD2DQZrr
9522    0U,	// VCVTTPD2DQrr
9523    0U,	// VCVTTPD2UDQZrm
9524    0U,	// VCVTTPD2UDQZrr
9525    0U,	// VCVTTPS2DQYrm
9526    0U,	// VCVTTPS2DQYrr
9527    0U,	// VCVTTPS2DQZrm
9528    0U,	// VCVTTPS2DQZrr
9529    0U,	// VCVTTPS2DQrm
9530    0U,	// VCVTTPS2DQrr
9531    0U,	// VCVTTPS2UDQZrm
9532    0U,	// VCVTTPS2UDQZrr
9533    0U,	// VCVTTSD2SI64Zrm
9534    0U,	// VCVTTSD2SI64Zrr
9535    0U,	// VCVTTSD2SI64rm
9536    0U,	// VCVTTSD2SI64rr
9537    0U,	// VCVTTSD2SIZrm
9538    0U,	// VCVTTSD2SIZrr
9539    0U,	// VCVTTSD2SIrm
9540    0U,	// VCVTTSD2SIrr
9541    0U,	// VCVTTSD2USI64Zrm
9542    0U,	// VCVTTSD2USI64Zrr
9543    0U,	// VCVTTSD2USIZrm
9544    0U,	// VCVTTSD2USIZrr
9545    0U,	// VCVTTSS2SI64Zrm
9546    0U,	// VCVTTSS2SI64Zrr
9547    0U,	// VCVTTSS2SI64rm
9548    0U,	// VCVTTSS2SI64rr
9549    0U,	// VCVTTSS2SIZrm
9550    0U,	// VCVTTSS2SIZrr
9551    0U,	// VCVTTSS2SIrm
9552    0U,	// VCVTTSS2SIrr
9553    0U,	// VCVTTSS2USI64Zrm
9554    0U,	// VCVTTSS2USI64Zrr
9555    0U,	// VCVTTSS2USIZrm
9556    0U,	// VCVTTSS2USIZrr
9557    0U,	// VCVTUDQ2PDZrm
9558    0U,	// VCVTUDQ2PDZrr
9559    0U,	// VCVTUDQ2PSZrm
9560    0U,	// VCVTUDQ2PSZrr
9561    112U,	// VCVTUDQ2PSZrrb
9562    16U,	// VCVTUSI2SDZrm
9563    8U,	// VCVTUSI2SDZrr
9564    16U,	// VCVTUSI2SSZrm
9565    8U,	// VCVTUSI2SSZrr
9566    24U,	// VCVTUSI642SDZrm
9567    8U,	// VCVTUSI642SDZrr
9568    24U,	// VCVTUSI642SSZrm
9569    8U,	// VCVTUSI642SSZrr
9570    64U,	// VDIVPDYrm
9571    8U,	// VDIVPDYrr
9572    72U,	// VDIVPDZrm
9573    560U,	// VDIVPDZrmb
9574    69898U,	// VDIVPDZrmbk
9575    69898U,	// VDIVPDZrmbkz
9576    6410U,	// VDIVPDZrmk
9577    6410U,	// VDIVPDZrmkz
9578    8U,	// VDIVPDZrr
9579    8458U,	// VDIVPDZrrk
9580    8458U,	// VDIVPDZrrkz
9581    80U,	// VDIVPDrm
9582    8U,	// VDIVPDrr
9583    64U,	// VDIVPSYrm
9584    8U,	// VDIVPSYrr
9585    72U,	// VDIVPSZrm
9586    824U,	// VDIVPSZrmb
9587    108810U,	// VDIVPSZrmbk
9588    108810U,	// VDIVPSZrmbkz
9589    6410U,	// VDIVPSZrmk
9590    6410U,	// VDIVPSZrmkz
9591    8U,	// VDIVPSZrr
9592    8458U,	// VDIVPSZrrk
9593    8458U,	// VDIVPSZrrkz
9594    80U,	// VDIVPSrm
9595    8U,	// VDIVPSrr
9596    48U,	// VDIVSDZrm
9597    8U,	// VDIVSDZrr
9598    48U,	// VDIVSDrm
9599    48U,	// VDIVSDrm_Int
9600    8U,	// VDIVSDrr
9601    8U,	// VDIVSDrr_Int
9602    56U,	// VDIVSSZrm
9603    8U,	// VDIVSSZrr
9604    56U,	// VDIVSSrm
9605    56U,	// VDIVSSrm_Int
9606    8U,	// VDIVSSrr
9607    8U,	// VDIVSSrr_Int
9608    2384U,	// VDPPDrmi
9609    8456U,	// VDPPDrri
9610    2408U,	// VDPPSYrmi
9611    8456U,	// VDPPSYrri
9612    2384U,	// VDPPSrmi
9613    8456U,	// VDPPSrri
9614    0U,	// VERRm
9615    0U,	// VERRr
9616    0U,	// VERWm
9617    0U,	// VERWr
9618    0U,	// VEXTRACTF128mr
9619    8U,	// VEXTRACTF128rr
9620    0U,	// VEXTRACTF32x4mr
9621    8U,	// VEXTRACTF32x4rr
9622    0U,	// VEXTRACTF64x4mr
9623    8U,	// VEXTRACTF64x4rr
9624    0U,	// VEXTRACTI128mr
9625    8U,	// VEXTRACTI128rr
9626    0U,	// VEXTRACTI32x4mr
9627    8U,	// VEXTRACTI32x4rr
9628    0U,	// VEXTRACTI64x4mr
9629    8U,	// VEXTRACTI64x4rr
9630    0U,	// VEXTRACTPSmr
9631    8U,	// VEXTRACTPSrr
9632    0U,	// VEXTRACTPSzmr
9633    8U,	// VEXTRACTPSzrr
9634    120U,	// VFMADD132PDZm
9635    640U,	// VFMADD132PDZmb
9636    120U,	// VFMADD132PSZm
9637    904U,	// VFMADD132PSZmb
9638    120U,	// VFMADD213PDZm
9639    640U,	// VFMADD213PDZmb
9640    40U,	// VFMADD213PDZr
9641    298U,	// VFMADD213PDZrk
9642    298U,	// VFMADD213PDZrkz
9643    120U,	// VFMADD213PSZm
9644    904U,	// VFMADD213PSZmb
9645    40U,	// VFMADD213PSZr
9646    298U,	// VFMADD213PSZrk
9647    298U,	// VFMADD213PSZrkz
9648    2384U,	// VFMADDPD4mr
9649    2368U,	// VFMADDPD4mrY
9650    12552U,	// VFMADDPD4rm
9651    14600U,	// VFMADDPD4rmY
9652    8456U,	// VFMADDPD4rr
9653    8456U,	// VFMADDPD4rrY
9654    8456U,	// VFMADDPD4rrY_REV
9655    8456U,	// VFMADDPD4rr_REV
9656    144U,	// VFMADDPDr132m
9657    152U,	// VFMADDPDr132mY
9658    40U,	// VFMADDPDr132r
9659    40U,	// VFMADDPDr132rY
9660    144U,	// VFMADDPDr213m
9661    152U,	// VFMADDPDr213mY
9662    40U,	// VFMADDPDr213r
9663    40U,	// VFMADDPDr213rY
9664    144U,	// VFMADDPDr231m
9665    152U,	// VFMADDPDr231mY
9666    40U,	// VFMADDPDr231r
9667    40U,	// VFMADDPDr231rY
9668    2384U,	// VFMADDPS4mr
9669    2368U,	// VFMADDPS4mrY
9670    12552U,	// VFMADDPS4rm
9671    14600U,	// VFMADDPS4rmY
9672    8456U,	// VFMADDPS4rr
9673    8456U,	// VFMADDPS4rrY
9674    8456U,	// VFMADDPS4rrY_REV
9675    8456U,	// VFMADDPS4rr_REV
9676    144U,	// VFMADDPSr132m
9677    152U,	// VFMADDPSr132mY
9678    40U,	// VFMADDPSr132r
9679    40U,	// VFMADDPSr132rY
9680    144U,	// VFMADDPSr213m
9681    152U,	// VFMADDPSr213mY
9682    40U,	// VFMADDPSr213r
9683    40U,	// VFMADDPSr213rY
9684    144U,	// VFMADDPSr231m
9685    152U,	// VFMADDPSr231mY
9686    40U,	// VFMADDPSr231r
9687    40U,	// VFMADDPSr231rY
9688    2352U,	// VFMADDSD4mr
9689    2352U,	// VFMADDSD4mr_Int
9690    4360U,	// VFMADDSD4rm
9691    4360U,	// VFMADDSD4rm_Int
9692    8456U,	// VFMADDSD4rr
9693    8456U,	// VFMADDSD4rr_Int
9694    8456U,	// VFMADDSD4rr_REV
9695    144U,	// VFMADDSDZm
9696    40U,	// VFMADDSDZr
9697    128U,	// VFMADDSDr132m
9698    40U,	// VFMADDSDr132r
9699    128U,	// VFMADDSDr213m
9700    40U,	// VFMADDSDr213r
9701    128U,	// VFMADDSDr231m
9702    40U,	// VFMADDSDr231r
9703    2360U,	// VFMADDSS4mr
9704    2360U,	// VFMADDSS4mr_Int
9705    10504U,	// VFMADDSS4rm
9706    10504U,	// VFMADDSS4rm_Int
9707    8456U,	// VFMADDSS4rr
9708    8456U,	// VFMADDSS4rr_Int
9709    8456U,	// VFMADDSS4rr_REV
9710    144U,	// VFMADDSSZm
9711    40U,	// VFMADDSSZr
9712    136U,	// VFMADDSSr132m
9713    40U,	// VFMADDSSr132r
9714    136U,	// VFMADDSSr213m
9715    40U,	// VFMADDSSr213r
9716    136U,	// VFMADDSSr231m
9717    40U,	// VFMADDSSr231r
9718    120U,	// VFMADDSUB132PDZm
9719    640U,	// VFMADDSUB132PDZmb
9720    120U,	// VFMADDSUB132PSZm
9721    904U,	// VFMADDSUB132PSZmb
9722    120U,	// VFMADDSUB213PDZm
9723    640U,	// VFMADDSUB213PDZmb
9724    40U,	// VFMADDSUB213PDZr
9725    298U,	// VFMADDSUB213PDZrk
9726    298U,	// VFMADDSUB213PDZrkz
9727    120U,	// VFMADDSUB213PSZm
9728    904U,	// VFMADDSUB213PSZmb
9729    40U,	// VFMADDSUB213PSZr
9730    298U,	// VFMADDSUB213PSZrk
9731    298U,	// VFMADDSUB213PSZrkz
9732    2384U,	// VFMADDSUBPD4mr
9733    2368U,	// VFMADDSUBPD4mrY
9734    12552U,	// VFMADDSUBPD4rm
9735    14600U,	// VFMADDSUBPD4rmY
9736    8456U,	// VFMADDSUBPD4rr
9737    8456U,	// VFMADDSUBPD4rrY
9738    8456U,	// VFMADDSUBPD4rrY_REV
9739    8456U,	// VFMADDSUBPD4rr_REV
9740    144U,	// VFMADDSUBPDr132m
9741    152U,	// VFMADDSUBPDr132mY
9742    40U,	// VFMADDSUBPDr132r
9743    40U,	// VFMADDSUBPDr132rY
9744    144U,	// VFMADDSUBPDr213m
9745    152U,	// VFMADDSUBPDr213mY
9746    40U,	// VFMADDSUBPDr213r
9747    40U,	// VFMADDSUBPDr213rY
9748    144U,	// VFMADDSUBPDr231m
9749    152U,	// VFMADDSUBPDr231mY
9750    40U,	// VFMADDSUBPDr231r
9751    40U,	// VFMADDSUBPDr231rY
9752    2384U,	// VFMADDSUBPS4mr
9753    2368U,	// VFMADDSUBPS4mrY
9754    12552U,	// VFMADDSUBPS4rm
9755    14600U,	// VFMADDSUBPS4rmY
9756    8456U,	// VFMADDSUBPS4rr
9757    8456U,	// VFMADDSUBPS4rrY
9758    8456U,	// VFMADDSUBPS4rrY_REV
9759    8456U,	// VFMADDSUBPS4rr_REV
9760    144U,	// VFMADDSUBPSr132m
9761    152U,	// VFMADDSUBPSr132mY
9762    40U,	// VFMADDSUBPSr132r
9763    40U,	// VFMADDSUBPSr132rY
9764    144U,	// VFMADDSUBPSr213m
9765    152U,	// VFMADDSUBPSr213mY
9766    40U,	// VFMADDSUBPSr213r
9767    40U,	// VFMADDSUBPSr213rY
9768    144U,	// VFMADDSUBPSr231m
9769    152U,	// VFMADDSUBPSr231mY
9770    40U,	// VFMADDSUBPSr231r
9771    40U,	// VFMADDSUBPSr231rY
9772    120U,	// VFMSUB132PDZm
9773    640U,	// VFMSUB132PDZmb
9774    120U,	// VFMSUB132PSZm
9775    904U,	// VFMSUB132PSZmb
9776    120U,	// VFMSUB213PDZm
9777    640U,	// VFMSUB213PDZmb
9778    40U,	// VFMSUB213PDZr
9779    298U,	// VFMSUB213PDZrk
9780    298U,	// VFMSUB213PDZrkz
9781    120U,	// VFMSUB213PSZm
9782    904U,	// VFMSUB213PSZmb
9783    40U,	// VFMSUB213PSZr
9784    298U,	// VFMSUB213PSZrk
9785    298U,	// VFMSUB213PSZrkz
9786    120U,	// VFMSUBADD132PDZm
9787    640U,	// VFMSUBADD132PDZmb
9788    120U,	// VFMSUBADD132PSZm
9789    904U,	// VFMSUBADD132PSZmb
9790    120U,	// VFMSUBADD213PDZm
9791    640U,	// VFMSUBADD213PDZmb
9792    40U,	// VFMSUBADD213PDZr
9793    298U,	// VFMSUBADD213PDZrk
9794    298U,	// VFMSUBADD213PDZrkz
9795    120U,	// VFMSUBADD213PSZm
9796    904U,	// VFMSUBADD213PSZmb
9797    40U,	// VFMSUBADD213PSZr
9798    298U,	// VFMSUBADD213PSZrk
9799    298U,	// VFMSUBADD213PSZrkz
9800    2384U,	// VFMSUBADDPD4mr
9801    2368U,	// VFMSUBADDPD4mrY
9802    12552U,	// VFMSUBADDPD4rm
9803    14600U,	// VFMSUBADDPD4rmY
9804    8456U,	// VFMSUBADDPD4rr
9805    8456U,	// VFMSUBADDPD4rrY
9806    8456U,	// VFMSUBADDPD4rrY_REV
9807    8456U,	// VFMSUBADDPD4rr_REV
9808    144U,	// VFMSUBADDPDr132m
9809    152U,	// VFMSUBADDPDr132mY
9810    40U,	// VFMSUBADDPDr132r
9811    40U,	// VFMSUBADDPDr132rY
9812    144U,	// VFMSUBADDPDr213m
9813    152U,	// VFMSUBADDPDr213mY
9814    40U,	// VFMSUBADDPDr213r
9815    40U,	// VFMSUBADDPDr213rY
9816    144U,	// VFMSUBADDPDr231m
9817    152U,	// VFMSUBADDPDr231mY
9818    40U,	// VFMSUBADDPDr231r
9819    40U,	// VFMSUBADDPDr231rY
9820    2384U,	// VFMSUBADDPS4mr
9821    2368U,	// VFMSUBADDPS4mrY
9822    12552U,	// VFMSUBADDPS4rm
9823    14600U,	// VFMSUBADDPS4rmY
9824    8456U,	// VFMSUBADDPS4rr
9825    8456U,	// VFMSUBADDPS4rrY
9826    8456U,	// VFMSUBADDPS4rrY_REV
9827    8456U,	// VFMSUBADDPS4rr_REV
9828    144U,	// VFMSUBADDPSr132m
9829    152U,	// VFMSUBADDPSr132mY
9830    40U,	// VFMSUBADDPSr132r
9831    40U,	// VFMSUBADDPSr132rY
9832    144U,	// VFMSUBADDPSr213m
9833    152U,	// VFMSUBADDPSr213mY
9834    40U,	// VFMSUBADDPSr213r
9835    40U,	// VFMSUBADDPSr213rY
9836    144U,	// VFMSUBADDPSr231m
9837    152U,	// VFMSUBADDPSr231mY
9838    40U,	// VFMSUBADDPSr231r
9839    40U,	// VFMSUBADDPSr231rY
9840    2384U,	// VFMSUBPD4mr
9841    2368U,	// VFMSUBPD4mrY
9842    12552U,	// VFMSUBPD4rm
9843    14600U,	// VFMSUBPD4rmY
9844    8456U,	// VFMSUBPD4rr
9845    8456U,	// VFMSUBPD4rrY
9846    8456U,	// VFMSUBPD4rrY_REV
9847    8456U,	// VFMSUBPD4rr_REV
9848    144U,	// VFMSUBPDr132m
9849    152U,	// VFMSUBPDr132mY
9850    40U,	// VFMSUBPDr132r
9851    40U,	// VFMSUBPDr132rY
9852    144U,	// VFMSUBPDr213m
9853    152U,	// VFMSUBPDr213mY
9854    40U,	// VFMSUBPDr213r
9855    40U,	// VFMSUBPDr213rY
9856    144U,	// VFMSUBPDr231m
9857    152U,	// VFMSUBPDr231mY
9858    40U,	// VFMSUBPDr231r
9859    40U,	// VFMSUBPDr231rY
9860    2384U,	// VFMSUBPS4mr
9861    2368U,	// VFMSUBPS4mrY
9862    12552U,	// VFMSUBPS4rm
9863    14600U,	// VFMSUBPS4rmY
9864    8456U,	// VFMSUBPS4rr
9865    8456U,	// VFMSUBPS4rrY
9866    8456U,	// VFMSUBPS4rrY_REV
9867    8456U,	// VFMSUBPS4rr_REV
9868    144U,	// VFMSUBPSr132m
9869    152U,	// VFMSUBPSr132mY
9870    40U,	// VFMSUBPSr132r
9871    40U,	// VFMSUBPSr132rY
9872    144U,	// VFMSUBPSr213m
9873    152U,	// VFMSUBPSr213mY
9874    40U,	// VFMSUBPSr213r
9875    40U,	// VFMSUBPSr213rY
9876    144U,	// VFMSUBPSr231m
9877    152U,	// VFMSUBPSr231mY
9878    40U,	// VFMSUBPSr231r
9879    40U,	// VFMSUBPSr231rY
9880    2352U,	// VFMSUBSD4mr
9881    2352U,	// VFMSUBSD4mr_Int
9882    4360U,	// VFMSUBSD4rm
9883    4360U,	// VFMSUBSD4rm_Int
9884    8456U,	// VFMSUBSD4rr
9885    8456U,	// VFMSUBSD4rr_Int
9886    8456U,	// VFMSUBSD4rr_REV
9887    144U,	// VFMSUBSDZm
9888    40U,	// VFMSUBSDZr
9889    128U,	// VFMSUBSDr132m
9890    40U,	// VFMSUBSDr132r
9891    128U,	// VFMSUBSDr213m
9892    40U,	// VFMSUBSDr213r
9893    128U,	// VFMSUBSDr231m
9894    40U,	// VFMSUBSDr231r
9895    2360U,	// VFMSUBSS4mr
9896    2360U,	// VFMSUBSS4mr_Int
9897    10504U,	// VFMSUBSS4rm
9898    10504U,	// VFMSUBSS4rm_Int
9899    8456U,	// VFMSUBSS4rr
9900    8456U,	// VFMSUBSS4rr_Int
9901    8456U,	// VFMSUBSS4rr_REV
9902    144U,	// VFMSUBSSZm
9903    40U,	// VFMSUBSSZr
9904    136U,	// VFMSUBSSr132m
9905    40U,	// VFMSUBSSr132r
9906    136U,	// VFMSUBSSr213m
9907    40U,	// VFMSUBSSr213r
9908    136U,	// VFMSUBSSr231m
9909    40U,	// VFMSUBSSr231r
9910    120U,	// VFNMADD132PDZm
9911    640U,	// VFNMADD132PDZmb
9912    120U,	// VFNMADD132PSZm
9913    904U,	// VFNMADD132PSZmb
9914    120U,	// VFNMADD213PDZm
9915    640U,	// VFNMADD213PDZmb
9916    40U,	// VFNMADD213PDZr
9917    298U,	// VFNMADD213PDZrk
9918    298U,	// VFNMADD213PDZrkz
9919    120U,	// VFNMADD213PSZm
9920    904U,	// VFNMADD213PSZmb
9921    40U,	// VFNMADD213PSZr
9922    298U,	// VFNMADD213PSZrk
9923    298U,	// VFNMADD213PSZrkz
9924    2384U,	// VFNMADDPD4mr
9925    2368U,	// VFNMADDPD4mrY
9926    12552U,	// VFNMADDPD4rm
9927    14600U,	// VFNMADDPD4rmY
9928    8456U,	// VFNMADDPD4rr
9929    8456U,	// VFNMADDPD4rrY
9930    8456U,	// VFNMADDPD4rrY_REV
9931    8456U,	// VFNMADDPD4rr_REV
9932    144U,	// VFNMADDPDr132m
9933    152U,	// VFNMADDPDr132mY
9934    40U,	// VFNMADDPDr132r
9935    40U,	// VFNMADDPDr132rY
9936    144U,	// VFNMADDPDr213m
9937    152U,	// VFNMADDPDr213mY
9938    40U,	// VFNMADDPDr213r
9939    40U,	// VFNMADDPDr213rY
9940    144U,	// VFNMADDPDr231m
9941    152U,	// VFNMADDPDr231mY
9942    40U,	// VFNMADDPDr231r
9943    40U,	// VFNMADDPDr231rY
9944    2384U,	// VFNMADDPS4mr
9945    2368U,	// VFNMADDPS4mrY
9946    12552U,	// VFNMADDPS4rm
9947    14600U,	// VFNMADDPS4rmY
9948    8456U,	// VFNMADDPS4rr
9949    8456U,	// VFNMADDPS4rrY
9950    8456U,	// VFNMADDPS4rrY_REV
9951    8456U,	// VFNMADDPS4rr_REV
9952    144U,	// VFNMADDPSr132m
9953    152U,	// VFNMADDPSr132mY
9954    40U,	// VFNMADDPSr132r
9955    40U,	// VFNMADDPSr132rY
9956    144U,	// VFNMADDPSr213m
9957    152U,	// VFNMADDPSr213mY
9958    40U,	// VFNMADDPSr213r
9959    40U,	// VFNMADDPSr213rY
9960    144U,	// VFNMADDPSr231m
9961    152U,	// VFNMADDPSr231mY
9962    40U,	// VFNMADDPSr231r
9963    40U,	// VFNMADDPSr231rY
9964    2352U,	// VFNMADDSD4mr
9965    2352U,	// VFNMADDSD4mr_Int
9966    4360U,	// VFNMADDSD4rm
9967    4360U,	// VFNMADDSD4rm_Int
9968    8456U,	// VFNMADDSD4rr
9969    8456U,	// VFNMADDSD4rr_Int
9970    8456U,	// VFNMADDSD4rr_REV
9971    144U,	// VFNMADDSDZm
9972    40U,	// VFNMADDSDZr
9973    128U,	// VFNMADDSDr132m
9974    40U,	// VFNMADDSDr132r
9975    128U,	// VFNMADDSDr213m
9976    40U,	// VFNMADDSDr213r
9977    128U,	// VFNMADDSDr231m
9978    40U,	// VFNMADDSDr231r
9979    2360U,	// VFNMADDSS4mr
9980    2360U,	// VFNMADDSS4mr_Int
9981    10504U,	// VFNMADDSS4rm
9982    10504U,	// VFNMADDSS4rm_Int
9983    8456U,	// VFNMADDSS4rr
9984    8456U,	// VFNMADDSS4rr_Int
9985    8456U,	// VFNMADDSS4rr_REV
9986    144U,	// VFNMADDSSZm
9987    40U,	// VFNMADDSSZr
9988    136U,	// VFNMADDSSr132m
9989    40U,	// VFNMADDSSr132r
9990    136U,	// VFNMADDSSr213m
9991    40U,	// VFNMADDSSr213r
9992    136U,	// VFNMADDSSr231m
9993    40U,	// VFNMADDSSr231r
9994    120U,	// VFNMSUB132PDZm
9995    640U,	// VFNMSUB132PDZmb
9996    120U,	// VFNMSUB132PSZm
9997    904U,	// VFNMSUB132PSZmb
9998    120U,	// VFNMSUB213PDZm
9999    640U,	// VFNMSUB213PDZmb
10000    40U,	// VFNMSUB213PDZr
10001    298U,	// VFNMSUB213PDZrk
10002    298U,	// VFNMSUB213PDZrkz
10003    120U,	// VFNMSUB213PSZm
10004    904U,	// VFNMSUB213PSZmb
10005    40U,	// VFNMSUB213PSZr
10006    298U,	// VFNMSUB213PSZrk
10007    298U,	// VFNMSUB213PSZrkz
10008    2384U,	// VFNMSUBPD4mr
10009    2368U,	// VFNMSUBPD4mrY
10010    12552U,	// VFNMSUBPD4rm
10011    14600U,	// VFNMSUBPD4rmY
10012    8456U,	// VFNMSUBPD4rr
10013    8456U,	// VFNMSUBPD4rrY
10014    8456U,	// VFNMSUBPD4rrY_REV
10015    8456U,	// VFNMSUBPD4rr_REV
10016    144U,	// VFNMSUBPDr132m
10017    152U,	// VFNMSUBPDr132mY
10018    40U,	// VFNMSUBPDr132r
10019    40U,	// VFNMSUBPDr132rY
10020    144U,	// VFNMSUBPDr213m
10021    152U,	// VFNMSUBPDr213mY
10022    40U,	// VFNMSUBPDr213r
10023    40U,	// VFNMSUBPDr213rY
10024    144U,	// VFNMSUBPDr231m
10025    152U,	// VFNMSUBPDr231mY
10026    40U,	// VFNMSUBPDr231r
10027    40U,	// VFNMSUBPDr231rY
10028    2384U,	// VFNMSUBPS4mr
10029    2368U,	// VFNMSUBPS4mrY
10030    12552U,	// VFNMSUBPS4rm
10031    14600U,	// VFNMSUBPS4rmY
10032    8456U,	// VFNMSUBPS4rr
10033    8456U,	// VFNMSUBPS4rrY
10034    8456U,	// VFNMSUBPS4rrY_REV
10035    8456U,	// VFNMSUBPS4rr_REV
10036    144U,	// VFNMSUBPSr132m
10037    152U,	// VFNMSUBPSr132mY
10038    40U,	// VFNMSUBPSr132r
10039    40U,	// VFNMSUBPSr132rY
10040    144U,	// VFNMSUBPSr213m
10041    152U,	// VFNMSUBPSr213mY
10042    40U,	// VFNMSUBPSr213r
10043    40U,	// VFNMSUBPSr213rY
10044    144U,	// VFNMSUBPSr231m
10045    152U,	// VFNMSUBPSr231mY
10046    40U,	// VFNMSUBPSr231r
10047    40U,	// VFNMSUBPSr231rY
10048    2352U,	// VFNMSUBSD4mr
10049    2352U,	// VFNMSUBSD4mr_Int
10050    4360U,	// VFNMSUBSD4rm
10051    4360U,	// VFNMSUBSD4rm_Int
10052    8456U,	// VFNMSUBSD4rr
10053    8456U,	// VFNMSUBSD4rr_Int
10054    8456U,	// VFNMSUBSD4rr_REV
10055    144U,	// VFNMSUBSDZm
10056    40U,	// VFNMSUBSDZr
10057    128U,	// VFNMSUBSDr132m
10058    40U,	// VFNMSUBSDr132r
10059    128U,	// VFNMSUBSDr213m
10060    40U,	// VFNMSUBSDr213r
10061    128U,	// VFNMSUBSDr231m
10062    40U,	// VFNMSUBSDr231r
10063    2360U,	// VFNMSUBSS4mr
10064    2360U,	// VFNMSUBSS4mr_Int
10065    10504U,	// VFNMSUBSS4rm
10066    10504U,	// VFNMSUBSS4rm_Int
10067    8456U,	// VFNMSUBSS4rr
10068    8456U,	// VFNMSUBSS4rr_Int
10069    8456U,	// VFNMSUBSS4rr_REV
10070    144U,	// VFNMSUBSSZm
10071    40U,	// VFNMSUBSSZr
10072    136U,	// VFNMSUBSSr132m
10073    40U,	// VFNMSUBSSr132r
10074    136U,	// VFNMSUBSSr213m
10075    40U,	// VFNMSUBSSr213r
10076    136U,	// VFNMSUBSSr231m
10077    40U,	// VFNMSUBSSr231r
10078    0U,	// VFRCZPDrm
10079    0U,	// VFRCZPDrmY
10080    0U,	// VFRCZPDrr
10081    0U,	// VFRCZPDrrY
10082    0U,	// VFRCZPSrm
10083    0U,	// VFRCZPSrmY
10084    0U,	// VFRCZPSrr
10085    0U,	// VFRCZPSrrY
10086    0U,	// VFRCZSDrm
10087    0U,	// VFRCZSDrr
10088    0U,	// VFRCZSSrm
10089    0U,	// VFRCZSSrr
10090    80U,	// VFsANDNPDrm
10091    8U,	// VFsANDNPDrr
10092    80U,	// VFsANDNPSrm
10093    8U,	// VFsANDNPSrr
10094    80U,	// VFsANDPDrm
10095    8U,	// VFsANDPDrr
10096    80U,	// VFsANDPSrm
10097    8U,	// VFsANDPSrr
10098    80U,	// VFsORPDrm
10099    8U,	// VFsORPDrr
10100    80U,	// VFsORPSrm
10101    8U,	// VFsORPSrr
10102    80U,	// VFsXORPDrm
10103    8U,	// VFsXORPDrr
10104    80U,	// VFsXORPSrm
10105    8U,	// VFsXORPSrr
10106    0U,	// VGATHERDPDYrm
10107    3U,	// VGATHERDPDZrm
10108    0U,	// VGATHERDPDrm
10109    0U,	// VGATHERDPSYrm
10110    4U,	// VGATHERDPSZrm
10111    0U,	// VGATHERDPSrm
10112    0U,	// VGATHERPF0DPDm
10113    0U,	// VGATHERPF0DPSm
10114    0U,	// VGATHERPF0QPDm
10115    0U,	// VGATHERPF0QPSm
10116    0U,	// VGATHERPF1DPDm
10117    0U,	// VGATHERPF1DPSm
10118    0U,	// VGATHERPF1QPDm
10119    0U,	// VGATHERPF1QPSm
10120    0U,	// VGATHERQPDYrm
10121    3U,	// VGATHERQPDZrm
10122    0U,	// VGATHERQPDrm
10123    0U,	// VGATHERQPSYrm
10124    3U,	// VGATHERQPSZrm
10125    0U,	// VGATHERQPSrm
10126    64U,	// VHADDPDYrm
10127    8U,	// VHADDPDYrr
10128    80U,	// VHADDPDrm
10129    8U,	// VHADDPDrr
10130    64U,	// VHADDPSYrm
10131    8U,	// VHADDPSYrr
10132    80U,	// VHADDPSrm
10133    8U,	// VHADDPSrr
10134    64U,	// VHSUBPDYrm
10135    8U,	// VHSUBPDYrr
10136    80U,	// VHSUBPDrm
10137    8U,	// VHSUBPDrr
10138    64U,	// VHSUBPSYrm
10139    8U,	// VHSUBPSYrr
10140    80U,	// VHSUBPSrm
10141    8U,	// VHSUBPSrr
10142    2384U,	// VINSERTF128rm
10143    8456U,	// VINSERTF128rr
10144    2384U,	// VINSERTF32x4rm
10145    8456U,	// VINSERTF32x4rr
10146    2408U,	// VINSERTF64x4rm
10147    8456U,	// VINSERTF64x4rr
10148    2392U,	// VINSERTI128rm
10149    8456U,	// VINSERTI128rr
10150    2392U,	// VINSERTI32x4rm
10151    8456U,	// VINSERTI32x4rr
10152    2408U,	// VINSERTI64x4rm
10153    8456U,	// VINSERTI64x4rr
10154    2360U,	// VINSERTPSrm
10155    8456U,	// VINSERTPSrr
10156    2360U,	// VINSERTPSzrm
10157    8456U,	// VINSERTPSzrr
10158    0U,	// VLDDQUYrm
10159    0U,	// VLDDQUrm
10160    0U,	// VLDMXCSR
10161    0U,	// VMASKMOVDQU
10162    0U,	// VMASKMOVDQU64
10163    0U,	// VMASKMOVPDYmr
10164    64U,	// VMASKMOVPDYrm
10165    0U,	// VMASKMOVPDmr
10166    80U,	// VMASKMOVPDrm
10167    0U,	// VMASKMOVPSYmr
10168    64U,	// VMASKMOVPSYrm
10169    0U,	// VMASKMOVPSmr
10170    80U,	// VMASKMOVPSrm
10171    64U,	// VMAXCPDYrm
10172    8U,	// VMAXCPDYrr
10173    80U,	// VMAXCPDrm
10174    8U,	// VMAXCPDrr
10175    64U,	// VMAXCPSYrm
10176    8U,	// VMAXCPSYrr
10177    80U,	// VMAXCPSrm
10178    8U,	// VMAXCPSrr
10179    48U,	// VMAXCSDrm
10180    8U,	// VMAXCSDrr
10181    56U,	// VMAXCSSrm
10182    8U,	// VMAXCSSrr
10183    64U,	// VMAXPDYrm
10184    8U,	// VMAXPDYrr
10185    72U,	// VMAXPDZrm
10186    560U,	// VMAXPDZrmb
10187    69898U,	// VMAXPDZrmbk
10188    69898U,	// VMAXPDZrmbkz
10189    6410U,	// VMAXPDZrmk
10190    6410U,	// VMAXPDZrmkz
10191    8U,	// VMAXPDZrr
10192    8458U,	// VMAXPDZrrk
10193    8458U,	// VMAXPDZrrkz
10194    80U,	// VMAXPDrm
10195    8U,	// VMAXPDrr
10196    64U,	// VMAXPSYrm
10197    8U,	// VMAXPSYrr
10198    72U,	// VMAXPSZrm
10199    824U,	// VMAXPSZrmb
10200    108810U,	// VMAXPSZrmbk
10201    108810U,	// VMAXPSZrmbkz
10202    6410U,	// VMAXPSZrmk
10203    6410U,	// VMAXPSZrmkz
10204    8U,	// VMAXPSZrr
10205    8458U,	// VMAXPSZrrk
10206    8458U,	// VMAXPSZrrkz
10207    80U,	// VMAXPSrm
10208    8U,	// VMAXPSrr
10209    48U,	// VMAXSDZrm
10210    8U,	// VMAXSDZrr
10211    48U,	// VMAXSDrm
10212    48U,	// VMAXSDrm_Int
10213    8U,	// VMAXSDrr
10214    8U,	// VMAXSDrr_Int
10215    56U,	// VMAXSSZrm
10216    8U,	// VMAXSSZrr
10217    56U,	// VMAXSSrm
10218    56U,	// VMAXSSrm_Int
10219    8U,	// VMAXSSrr
10220    8U,	// VMAXSSrr_Int
10221    0U,	// VMCALL
10222    0U,	// VMCLEARm
10223    0U,	// VMFUNC
10224    64U,	// VMINCPDYrm
10225    8U,	// VMINCPDYrr
10226    80U,	// VMINCPDrm
10227    8U,	// VMINCPDrr
10228    64U,	// VMINCPSYrm
10229    8U,	// VMINCPSYrr
10230    80U,	// VMINCPSrm
10231    8U,	// VMINCPSrr
10232    48U,	// VMINCSDrm
10233    8U,	// VMINCSDrr
10234    56U,	// VMINCSSrm
10235    8U,	// VMINCSSrr
10236    64U,	// VMINPDYrm
10237    8U,	// VMINPDYrr
10238    72U,	// VMINPDZrm
10239    560U,	// VMINPDZrmb
10240    69898U,	// VMINPDZrmbk
10241    69898U,	// VMINPDZrmbkz
10242    6410U,	// VMINPDZrmk
10243    6410U,	// VMINPDZrmkz
10244    8U,	// VMINPDZrr
10245    8458U,	// VMINPDZrrk
10246    8458U,	// VMINPDZrrkz
10247    80U,	// VMINPDrm
10248    8U,	// VMINPDrr
10249    64U,	// VMINPSYrm
10250    8U,	// VMINPSYrr
10251    72U,	// VMINPSZrm
10252    824U,	// VMINPSZrmb
10253    108810U,	// VMINPSZrmbk
10254    108810U,	// VMINPSZrmbkz
10255    6410U,	// VMINPSZrmk
10256    6410U,	// VMINPSZrmkz
10257    8U,	// VMINPSZrr
10258    8458U,	// VMINPSZrrk
10259    8458U,	// VMINPSZrrkz
10260    80U,	// VMINPSrm
10261    8U,	// VMINPSrr
10262    48U,	// VMINSDZrm
10263    8U,	// VMINSDZrr
10264    48U,	// VMINSDrm
10265    48U,	// VMINSDrm_Int
10266    8U,	// VMINSDrr
10267    8U,	// VMINSDrr_Int
10268    56U,	// VMINSSZrm
10269    8U,	// VMINSSZrr
10270    56U,	// VMINSSrm
10271    56U,	// VMINSSrm_Int
10272    8U,	// VMINSSrr
10273    8U,	// VMINSSrr_Int
10274    0U,	// VMLAUNCH
10275    0U,	// VMLOAD32
10276    0U,	// VMLOAD64
10277    0U,	// VMMCALL
10278    0U,	// VMOV64toPQIZrr
10279    0U,	// VMOV64toPQIrr
10280    0U,	// VMOV64toSDZrr
10281    0U,	// VMOV64toSDrm
10282    0U,	// VMOV64toSDrr
10283    0U,	// VMOVAPDYmr
10284    0U,	// VMOVAPDYrm
10285    0U,	// VMOVAPDYrr
10286    0U,	// VMOVAPDYrr_REV
10287    0U,	// VMOVAPDZ128mr
10288    2U,	// VMOVAPDZ128mrk
10289    0U,	// VMOVAPDZ128rm
10290    146U,	// VMOVAPDZ128rmk
10291    82U,	// VMOVAPDZ128rmkz
10292    0U,	// VMOVAPDZ128rr
10293    0U,	// VMOVAPDZ128rr_alt
10294    42U,	// VMOVAPDZ128rrk
10295    42U,	// VMOVAPDZ128rrk_alt
10296    10U,	// VMOVAPDZ128rrkz
10297    10U,	// VMOVAPDZ128rrkz_alt
10298    0U,	// VMOVAPDZ256mr
10299    2U,	// VMOVAPDZ256mrk
10300    0U,	// VMOVAPDZ256rm
10301    154U,	// VMOVAPDZ256rmk
10302    66U,	// VMOVAPDZ256rmkz
10303    0U,	// VMOVAPDZ256rr
10304    0U,	// VMOVAPDZ256rr_alt
10305    42U,	// VMOVAPDZ256rrk
10306    42U,	// VMOVAPDZ256rrk_alt
10307    10U,	// VMOVAPDZ256rrkz
10308    10U,	// VMOVAPDZ256rrkz_alt
10309    0U,	// VMOVAPDZmr
10310    2U,	// VMOVAPDZmrk
10311    0U,	// VMOVAPDZrm
10312    122U,	// VMOVAPDZrmk
10313    74U,	// VMOVAPDZrmkz
10314    0U,	// VMOVAPDZrr
10315    0U,	// VMOVAPDZrr_alt
10316    42U,	// VMOVAPDZrrk
10317    42U,	// VMOVAPDZrrk_alt
10318    10U,	// VMOVAPDZrrkz
10319    10U,	// VMOVAPDZrrkz_alt
10320    0U,	// VMOVAPDmr
10321    0U,	// VMOVAPDrm
10322    0U,	// VMOVAPDrr
10323    0U,	// VMOVAPDrr_REV
10324    0U,	// VMOVAPSYmr
10325    0U,	// VMOVAPSYrm
10326    0U,	// VMOVAPSYrr
10327    0U,	// VMOVAPSYrr_REV
10328    0U,	// VMOVAPSZ128mr
10329    2U,	// VMOVAPSZ128mrk
10330    0U,	// VMOVAPSZ128rm
10331    146U,	// VMOVAPSZ128rmk
10332    82U,	// VMOVAPSZ128rmkz
10333    0U,	// VMOVAPSZ128rr
10334    0U,	// VMOVAPSZ128rr_alt
10335    42U,	// VMOVAPSZ128rrk
10336    42U,	// VMOVAPSZ128rrk_alt
10337    10U,	// VMOVAPSZ128rrkz
10338    10U,	// VMOVAPSZ128rrkz_alt
10339    0U,	// VMOVAPSZ256mr
10340    2U,	// VMOVAPSZ256mrk
10341    0U,	// VMOVAPSZ256rm
10342    154U,	// VMOVAPSZ256rmk
10343    66U,	// VMOVAPSZ256rmkz
10344    0U,	// VMOVAPSZ256rr
10345    0U,	// VMOVAPSZ256rr_alt
10346    42U,	// VMOVAPSZ256rrk
10347    42U,	// VMOVAPSZ256rrk_alt
10348    10U,	// VMOVAPSZ256rrkz
10349    10U,	// VMOVAPSZ256rrkz_alt
10350    0U,	// VMOVAPSZmr
10351    2U,	// VMOVAPSZmrk
10352    0U,	// VMOVAPSZrm
10353    122U,	// VMOVAPSZrmk
10354    74U,	// VMOVAPSZrmkz
10355    0U,	// VMOVAPSZrr
10356    0U,	// VMOVAPSZrr_alt
10357    42U,	// VMOVAPSZrrk
10358    42U,	// VMOVAPSZrrk_alt
10359    10U,	// VMOVAPSZrrkz
10360    10U,	// VMOVAPSZrrkz_alt
10361    0U,	// VMOVAPSmr
10362    0U,	// VMOVAPSrm
10363    0U,	// VMOVAPSrr
10364    0U,	// VMOVAPSrr_REV
10365    0U,	// VMOVDDUPYrm
10366    0U,	// VMOVDDUPYrr
10367    0U,	// VMOVDDUPZrm
10368    0U,	// VMOVDDUPZrr
10369    0U,	// VMOVDDUPrm
10370    0U,	// VMOVDDUPrr
10371    0U,	// VMOVDI2PDIZrm
10372    0U,	// VMOVDI2PDIZrr
10373    0U,	// VMOVDI2PDIrm
10374    0U,	// VMOVDI2PDIrr
10375    0U,	// VMOVDI2SSZrm
10376    0U,	// VMOVDI2SSZrr
10377    0U,	// VMOVDI2SSrm
10378    0U,	// VMOVDI2SSrr
10379    0U,	// VMOVDQA32Z128mr
10380    2U,	// VMOVDQA32Z128mrk
10381    0U,	// VMOVDQA32Z128rm
10382    162U,	// VMOVDQA32Z128rmk
10383    90U,	// VMOVDQA32Z128rmkz
10384    0U,	// VMOVDQA32Z128rr
10385    0U,	// VMOVDQA32Z128rr_alt
10386    42U,	// VMOVDQA32Z128rrk
10387    42U,	// VMOVDQA32Z128rrk_alt
10388    10U,	// VMOVDQA32Z128rrkz
10389    10U,	// VMOVDQA32Z128rrkz_alt
10390    0U,	// VMOVDQA32Z256mr
10391    2U,	// VMOVDQA32Z256mrk
10392    0U,	// VMOVDQA32Z256rm
10393    170U,	// VMOVDQA32Z256rmk
10394    106U,	// VMOVDQA32Z256rmkz
10395    0U,	// VMOVDQA32Z256rr
10396    0U,	// VMOVDQA32Z256rr_alt
10397    42U,	// VMOVDQA32Z256rrk
10398    42U,	// VMOVDQA32Z256rrk_alt
10399    10U,	// VMOVDQA32Z256rrkz
10400    10U,	// VMOVDQA32Z256rrkz_alt
10401    0U,	// VMOVDQA32Zmr
10402    2U,	// VMOVDQA32Zmrk
10403    0U,	// VMOVDQA32Zrm
10404    178U,	// VMOVDQA32Zrmk
10405    98U,	// VMOVDQA32Zrmkz
10406    0U,	// VMOVDQA32Zrr
10407    0U,	// VMOVDQA32Zrr_alt
10408    42U,	// VMOVDQA32Zrrk
10409    42U,	// VMOVDQA32Zrrk_alt
10410    10U,	// VMOVDQA32Zrrkz
10411    10U,	// VMOVDQA32Zrrkz_alt
10412    0U,	// VMOVDQA64Z128mr
10413    2U,	// VMOVDQA64Z128mrk
10414    0U,	// VMOVDQA64Z128rm
10415    162U,	// VMOVDQA64Z128rmk
10416    90U,	// VMOVDQA64Z128rmkz
10417    0U,	// VMOVDQA64Z128rr
10418    0U,	// VMOVDQA64Z128rr_alt
10419    42U,	// VMOVDQA64Z128rrk
10420    42U,	// VMOVDQA64Z128rrk_alt
10421    10U,	// VMOVDQA64Z128rrkz
10422    10U,	// VMOVDQA64Z128rrkz_alt
10423    0U,	// VMOVDQA64Z256mr
10424    2U,	// VMOVDQA64Z256mrk
10425    0U,	// VMOVDQA64Z256rm
10426    170U,	// VMOVDQA64Z256rmk
10427    106U,	// VMOVDQA64Z256rmkz
10428    0U,	// VMOVDQA64Z256rr
10429    0U,	// VMOVDQA64Z256rr_alt
10430    42U,	// VMOVDQA64Z256rrk
10431    42U,	// VMOVDQA64Z256rrk_alt
10432    10U,	// VMOVDQA64Z256rrkz
10433    10U,	// VMOVDQA64Z256rrkz_alt
10434    0U,	// VMOVDQA64Zmr
10435    2U,	// VMOVDQA64Zmrk
10436    0U,	// VMOVDQA64Zrm
10437    178U,	// VMOVDQA64Zrmk
10438    98U,	// VMOVDQA64Zrmkz
10439    0U,	// VMOVDQA64Zrr
10440    0U,	// VMOVDQA64Zrr_alt
10441    42U,	// VMOVDQA64Zrrk
10442    42U,	// VMOVDQA64Zrrk_alt
10443    10U,	// VMOVDQA64Zrrkz
10444    10U,	// VMOVDQA64Zrrkz_alt
10445    0U,	// VMOVDQAYmr
10446    0U,	// VMOVDQAYrm
10447    0U,	// VMOVDQAYrr
10448    0U,	// VMOVDQAYrr_REV
10449    0U,	// VMOVDQAmr
10450    0U,	// VMOVDQArm
10451    0U,	// VMOVDQArr
10452    0U,	// VMOVDQArr_REV
10453    0U,	// VMOVDQU16Z128mr
10454    2U,	// VMOVDQU16Z128mrk
10455    0U,	// VMOVDQU16Z128rm
10456    162U,	// VMOVDQU16Z128rmk
10457    90U,	// VMOVDQU16Z128rmkz
10458    0U,	// VMOVDQU16Z128rr
10459    0U,	// VMOVDQU16Z128rr_alt
10460    42U,	// VMOVDQU16Z128rrk
10461    42U,	// VMOVDQU16Z128rrk_alt
10462    10U,	// VMOVDQU16Z128rrkz
10463    10U,	// VMOVDQU16Z128rrkz_alt
10464    0U,	// VMOVDQU16Z256mr
10465    2U,	// VMOVDQU16Z256mrk
10466    0U,	// VMOVDQU16Z256rm
10467    170U,	// VMOVDQU16Z256rmk
10468    106U,	// VMOVDQU16Z256rmkz
10469    0U,	// VMOVDQU16Z256rr
10470    0U,	// VMOVDQU16Z256rr_alt
10471    42U,	// VMOVDQU16Z256rrk
10472    42U,	// VMOVDQU16Z256rrk_alt
10473    10U,	// VMOVDQU16Z256rrkz
10474    10U,	// VMOVDQU16Z256rrkz_alt
10475    0U,	// VMOVDQU16Zmr
10476    2U,	// VMOVDQU16Zmrk
10477    0U,	// VMOVDQU16Zrm
10478    178U,	// VMOVDQU16Zrmk
10479    98U,	// VMOVDQU16Zrmkz
10480    0U,	// VMOVDQU16Zrr
10481    0U,	// VMOVDQU16Zrr_alt
10482    42U,	// VMOVDQU16Zrrk
10483    42U,	// VMOVDQU16Zrrk_alt
10484    10U,	// VMOVDQU16Zrrkz
10485    10U,	// VMOVDQU16Zrrkz_alt
10486    0U,	// VMOVDQU32Z128mr
10487    2U,	// VMOVDQU32Z128mrk
10488    0U,	// VMOVDQU32Z128rm
10489    162U,	// VMOVDQU32Z128rmk
10490    90U,	// VMOVDQU32Z128rmkz
10491    0U,	// VMOVDQU32Z128rr
10492    0U,	// VMOVDQU32Z128rr_alt
10493    42U,	// VMOVDQU32Z128rrk
10494    42U,	// VMOVDQU32Z128rrk_alt
10495    10U,	// VMOVDQU32Z128rrkz
10496    10U,	// VMOVDQU32Z128rrkz_alt
10497    0U,	// VMOVDQU32Z256mr
10498    2U,	// VMOVDQU32Z256mrk
10499    0U,	// VMOVDQU32Z256rm
10500    170U,	// VMOVDQU32Z256rmk
10501    106U,	// VMOVDQU32Z256rmkz
10502    0U,	// VMOVDQU32Z256rr
10503    0U,	// VMOVDQU32Z256rr_alt
10504    42U,	// VMOVDQU32Z256rrk
10505    42U,	// VMOVDQU32Z256rrk_alt
10506    10U,	// VMOVDQU32Z256rrkz
10507    10U,	// VMOVDQU32Z256rrkz_alt
10508    0U,	// VMOVDQU32Zmr
10509    2U,	// VMOVDQU32Zmrk
10510    0U,	// VMOVDQU32Zrm
10511    178U,	// VMOVDQU32Zrmk
10512    98U,	// VMOVDQU32Zrmkz
10513    0U,	// VMOVDQU32Zrr
10514    0U,	// VMOVDQU32Zrr_alt
10515    42U,	// VMOVDQU32Zrrk
10516    42U,	// VMOVDQU32Zrrk_alt
10517    10U,	// VMOVDQU32Zrrkz
10518    10U,	// VMOVDQU32Zrrkz_alt
10519    0U,	// VMOVDQU64Z128mr
10520    2U,	// VMOVDQU64Z128mrk
10521    0U,	// VMOVDQU64Z128rm
10522    162U,	// VMOVDQU64Z128rmk
10523    90U,	// VMOVDQU64Z128rmkz
10524    0U,	// VMOVDQU64Z128rr
10525    0U,	// VMOVDQU64Z128rr_alt
10526    42U,	// VMOVDQU64Z128rrk
10527    42U,	// VMOVDQU64Z128rrk_alt
10528    10U,	// VMOVDQU64Z128rrkz
10529    10U,	// VMOVDQU64Z128rrkz_alt
10530    0U,	// VMOVDQU64Z256mr
10531    2U,	// VMOVDQU64Z256mrk
10532    0U,	// VMOVDQU64Z256rm
10533    170U,	// VMOVDQU64Z256rmk
10534    106U,	// VMOVDQU64Z256rmkz
10535    0U,	// VMOVDQU64Z256rr
10536    0U,	// VMOVDQU64Z256rr_alt
10537    42U,	// VMOVDQU64Z256rrk
10538    42U,	// VMOVDQU64Z256rrk_alt
10539    10U,	// VMOVDQU64Z256rrkz
10540    10U,	// VMOVDQU64Z256rrkz_alt
10541    0U,	// VMOVDQU64Zmr
10542    2U,	// VMOVDQU64Zmrk
10543    0U,	// VMOVDQU64Zrm
10544    178U,	// VMOVDQU64Zrmk
10545    98U,	// VMOVDQU64Zrmkz
10546    0U,	// VMOVDQU64Zrr
10547    0U,	// VMOVDQU64Zrr_alt
10548    42U,	// VMOVDQU64Zrrk
10549    42U,	// VMOVDQU64Zrrk_alt
10550    10U,	// VMOVDQU64Zrrkz
10551    10U,	// VMOVDQU64Zrrkz_alt
10552    0U,	// VMOVDQU8Z128mr
10553    2U,	// VMOVDQU8Z128mrk
10554    0U,	// VMOVDQU8Z128rm
10555    162U,	// VMOVDQU8Z128rmk
10556    90U,	// VMOVDQU8Z128rmkz
10557    0U,	// VMOVDQU8Z128rr
10558    0U,	// VMOVDQU8Z128rr_alt
10559    42U,	// VMOVDQU8Z128rrk
10560    42U,	// VMOVDQU8Z128rrk_alt
10561    10U,	// VMOVDQU8Z128rrkz
10562    10U,	// VMOVDQU8Z128rrkz_alt
10563    0U,	// VMOVDQU8Z256mr
10564    2U,	// VMOVDQU8Z256mrk
10565    0U,	// VMOVDQU8Z256rm
10566    170U,	// VMOVDQU8Z256rmk
10567    106U,	// VMOVDQU8Z256rmkz
10568    0U,	// VMOVDQU8Z256rr
10569    0U,	// VMOVDQU8Z256rr_alt
10570    42U,	// VMOVDQU8Z256rrk
10571    42U,	// VMOVDQU8Z256rrk_alt
10572    10U,	// VMOVDQU8Z256rrkz
10573    10U,	// VMOVDQU8Z256rrkz_alt
10574    0U,	// VMOVDQU8Zmr
10575    2U,	// VMOVDQU8Zmrk
10576    0U,	// VMOVDQU8Zrm
10577    178U,	// VMOVDQU8Zrmk
10578    98U,	// VMOVDQU8Zrmkz
10579    0U,	// VMOVDQU8Zrr
10580    0U,	// VMOVDQU8Zrr_alt
10581    42U,	// VMOVDQU8Zrrk
10582    42U,	// VMOVDQU8Zrrk_alt
10583    10U,	// VMOVDQU8Zrrkz
10584    10U,	// VMOVDQU8Zrrkz_alt
10585    0U,	// VMOVDQUYmr
10586    0U,	// VMOVDQUYrm
10587    0U,	// VMOVDQUYrr
10588    0U,	// VMOVDQUYrr_REV
10589    0U,	// VMOVDQUmr
10590    0U,	// VMOVDQUrm
10591    0U,	// VMOVDQUrr
10592    0U,	// VMOVDQUrr_REV
10593    8U,	// VMOVHLPSZrr
10594    8U,	// VMOVHLPSrr
10595    0U,	// VMOVHPDmr
10596    48U,	// VMOVHPDrm
10597    0U,	// VMOVHPSmr
10598    48U,	// VMOVHPSrm
10599    8U,	// VMOVLHPSZrr
10600    8U,	// VMOVLHPSrr
10601    0U,	// VMOVLPDmr
10602    48U,	// VMOVLPDrm
10603    0U,	// VMOVLPSmr
10604    48U,	// VMOVLPSrm
10605    0U,	// VMOVMSKPDYrr
10606    0U,	// VMOVMSKPDrr
10607    0U,	// VMOVMSKPSYrr
10608    0U,	// VMOVMSKPSrr
10609    0U,	// VMOVNTDQAYrm
10610    0U,	// VMOVNTDQAZ128rm
10611    0U,	// VMOVNTDQAZ256rm
10612    0U,	// VMOVNTDQAZrm
10613    0U,	// VMOVNTDQArm
10614    0U,	// VMOVNTDQYmr
10615    0U,	// VMOVNTDQZ128mr
10616    0U,	// VMOVNTDQZ256mr
10617    0U,	// VMOVNTDQZmr
10618    0U,	// VMOVNTDQmr
10619    0U,	// VMOVNTPDYmr
10620    0U,	// VMOVNTPDZ128mr
10621    0U,	// VMOVNTPDZ256mr
10622    0U,	// VMOVNTPDZmr
10623    0U,	// VMOVNTPDmr
10624    0U,	// VMOVNTPSYmr
10625    0U,	// VMOVNTPSZ128mr
10626    0U,	// VMOVNTPSZ256mr
10627    0U,	// VMOVNTPSZmr
10628    0U,	// VMOVNTPSmr
10629    0U,	// VMOVPDI2DIZmr
10630    0U,	// VMOVPDI2DIZrr
10631    0U,	// VMOVPDI2DImr
10632    0U,	// VMOVPDI2DIrr
10633    0U,	// VMOVPQI2QImr
10634    0U,	// VMOVPQI2QIrr
10635    0U,	// VMOVPQIto64Zmr
10636    0U,	// VMOVPQIto64Zrr
10637    0U,	// VMOVPQIto64rr
10638    0U,	// VMOVQI2PQIZrm
10639    0U,	// VMOVQI2PQIrm
10640    0U,	// VMOVSDZmr
10641    0U,	// VMOVSDZrm
10642    8U,	// VMOVSDZrr
10643    8U,	// VMOVSDZrr_REV
10644    298U,	// VMOVSDZrrk
10645    0U,	// VMOVSDmr
10646    0U,	// VMOVSDrm
10647    8U,	// VMOVSDrr
10648    8U,	// VMOVSDrr_REV
10649    0U,	// VMOVSDto64Zmr
10650    0U,	// VMOVSDto64Zrr
10651    0U,	// VMOVSDto64mr
10652    0U,	// VMOVSDto64rr
10653    0U,	// VMOVSHDUPYrm
10654    0U,	// VMOVSHDUPYrr
10655    0U,	// VMOVSHDUPZrm
10656    0U,	// VMOVSHDUPZrr
10657    0U,	// VMOVSHDUPrm
10658    0U,	// VMOVSHDUPrr
10659    0U,	// VMOVSLDUPYrm
10660    0U,	// VMOVSLDUPYrr
10661    0U,	// VMOVSLDUPZrm
10662    0U,	// VMOVSLDUPZrr
10663    0U,	// VMOVSLDUPrm
10664    0U,	// VMOVSLDUPrr
10665    0U,	// VMOVSS2DIZmr
10666    0U,	// VMOVSS2DIZrr
10667    0U,	// VMOVSS2DImr
10668    0U,	// VMOVSS2DIrr
10669    0U,	// VMOVSSZmr
10670    0U,	// VMOVSSZrm
10671    8U,	// VMOVSSZrr
10672    8U,	// VMOVSSZrr_REV
10673    298U,	// VMOVSSZrrk
10674    0U,	// VMOVSSmr
10675    0U,	// VMOVSSrm
10676    8U,	// VMOVSSrr
10677    8U,	// VMOVSSrr_REV
10678    0U,	// VMOVUPDYmr
10679    0U,	// VMOVUPDYrm
10680    0U,	// VMOVUPDYrr
10681    0U,	// VMOVUPDYrr_REV
10682    0U,	// VMOVUPDZ128mr
10683    2U,	// VMOVUPDZ128mrk
10684    0U,	// VMOVUPDZ128rm
10685    146U,	// VMOVUPDZ128rmk
10686    82U,	// VMOVUPDZ128rmkz
10687    0U,	// VMOVUPDZ128rr
10688    0U,	// VMOVUPDZ128rr_alt
10689    42U,	// VMOVUPDZ128rrk
10690    42U,	// VMOVUPDZ128rrk_alt
10691    10U,	// VMOVUPDZ128rrkz
10692    10U,	// VMOVUPDZ128rrkz_alt
10693    0U,	// VMOVUPDZ256mr
10694    2U,	// VMOVUPDZ256mrk
10695    0U,	// VMOVUPDZ256rm
10696    154U,	// VMOVUPDZ256rmk
10697    66U,	// VMOVUPDZ256rmkz
10698    0U,	// VMOVUPDZ256rr
10699    0U,	// VMOVUPDZ256rr_alt
10700    42U,	// VMOVUPDZ256rrk
10701    42U,	// VMOVUPDZ256rrk_alt
10702    10U,	// VMOVUPDZ256rrkz
10703    10U,	// VMOVUPDZ256rrkz_alt
10704    0U,	// VMOVUPDZmr
10705    2U,	// VMOVUPDZmrk
10706    0U,	// VMOVUPDZrm
10707    122U,	// VMOVUPDZrmk
10708    74U,	// VMOVUPDZrmkz
10709    0U,	// VMOVUPDZrr
10710    0U,	// VMOVUPDZrr_alt
10711    42U,	// VMOVUPDZrrk
10712    42U,	// VMOVUPDZrrk_alt
10713    10U,	// VMOVUPDZrrkz
10714    10U,	// VMOVUPDZrrkz_alt
10715    0U,	// VMOVUPDmr
10716    0U,	// VMOVUPDrm
10717    0U,	// VMOVUPDrr
10718    0U,	// VMOVUPDrr_REV
10719    0U,	// VMOVUPSYmr
10720    0U,	// VMOVUPSYrm
10721    0U,	// VMOVUPSYrr
10722    0U,	// VMOVUPSYrr_REV
10723    0U,	// VMOVUPSZ128mr
10724    2U,	// VMOVUPSZ128mrk
10725    0U,	// VMOVUPSZ128rm
10726    146U,	// VMOVUPSZ128rmk
10727    82U,	// VMOVUPSZ128rmkz
10728    0U,	// VMOVUPSZ128rr
10729    0U,	// VMOVUPSZ128rr_alt
10730    42U,	// VMOVUPSZ128rrk
10731    42U,	// VMOVUPSZ128rrk_alt
10732    10U,	// VMOVUPSZ128rrkz
10733    10U,	// VMOVUPSZ128rrkz_alt
10734    0U,	// VMOVUPSZ256mr
10735    2U,	// VMOVUPSZ256mrk
10736    0U,	// VMOVUPSZ256rm
10737    154U,	// VMOVUPSZ256rmk
10738    66U,	// VMOVUPSZ256rmkz
10739    0U,	// VMOVUPSZ256rr
10740    0U,	// VMOVUPSZ256rr_alt
10741    42U,	// VMOVUPSZ256rrk
10742    42U,	// VMOVUPSZ256rrk_alt
10743    10U,	// VMOVUPSZ256rrkz
10744    10U,	// VMOVUPSZ256rrkz_alt
10745    0U,	// VMOVUPSZmr
10746    2U,	// VMOVUPSZmrk
10747    0U,	// VMOVUPSZrm
10748    122U,	// VMOVUPSZrmk
10749    74U,	// VMOVUPSZrmkz
10750    0U,	// VMOVUPSZrr
10751    0U,	// VMOVUPSZrr_alt
10752    42U,	// VMOVUPSZrrk
10753    42U,	// VMOVUPSZrrk_alt
10754    10U,	// VMOVUPSZrrkz
10755    10U,	// VMOVUPSZrrkz_alt
10756    0U,	// VMOVUPSmr
10757    0U,	// VMOVUPSrm
10758    0U,	// VMOVUPSrr
10759    0U,	// VMOVUPSrr_REV
10760    0U,	// VMOVZPQILo2PQIZrm
10761    0U,	// VMOVZPQILo2PQIZrr
10762    0U,	// VMOVZPQILo2PQIrm
10763    0U,	// VMOVZPQILo2PQIrr
10764    0U,	// VMOVZQI2PQIrm
10765    0U,	// VMOVZQI2PQIrr
10766    2408U,	// VMPSADBWYrmi
10767    8456U,	// VMPSADBWYrri
10768    2392U,	// VMPSADBWrmi
10769    8456U,	// VMPSADBWrri
10770    0U,	// VMPTRLDm
10771    0U,	// VMPTRSTm
10772    0U,	// VMREAD32rm
10773    0U,	// VMREAD32rr
10774    0U,	// VMREAD64rm
10775    0U,	// VMREAD64rr
10776    0U,	// VMRESUME
10777    0U,	// VMRUN32
10778    0U,	// VMRUN64
10779    0U,	// VMSAVE32
10780    0U,	// VMSAVE64
10781    64U,	// VMULPDYrm
10782    8U,	// VMULPDYrr
10783    72U,	// VMULPDZrm
10784    560U,	// VMULPDZrmb
10785    69898U,	// VMULPDZrmbk
10786    69898U,	// VMULPDZrmbkz
10787    6410U,	// VMULPDZrmk
10788    6410U,	// VMULPDZrmkz
10789    8U,	// VMULPDZrr
10790    8458U,	// VMULPDZrrk
10791    8458U,	// VMULPDZrrkz
10792    80U,	// VMULPDrm
10793    8U,	// VMULPDrr
10794    64U,	// VMULPSYrm
10795    8U,	// VMULPSYrr
10796    72U,	// VMULPSZrm
10797    824U,	// VMULPSZrmb
10798    108810U,	// VMULPSZrmbk
10799    108810U,	// VMULPSZrmbkz
10800    6410U,	// VMULPSZrmk
10801    6410U,	// VMULPSZrmkz
10802    8U,	// VMULPSZrr
10803    8458U,	// VMULPSZrrk
10804    8458U,	// VMULPSZrrkz
10805    80U,	// VMULPSrm
10806    8U,	// VMULPSrr
10807    48U,	// VMULSDZrm
10808    8U,	// VMULSDZrr
10809    48U,	// VMULSDrm
10810    48U,	// VMULSDrm_Int
10811    8U,	// VMULSDrr
10812    8U,	// VMULSDrr_Int
10813    56U,	// VMULSSZrm
10814    8U,	// VMULSSZrr
10815    56U,	// VMULSSrm
10816    56U,	// VMULSSrm_Int
10817    8U,	// VMULSSrr
10818    8U,	// VMULSSrr_Int
10819    0U,	// VMWRITE32rm
10820    0U,	// VMWRITE32rr
10821    0U,	// VMWRITE64rm
10822    0U,	// VMWRITE64rr
10823    0U,	// VMXOFF
10824    0U,	// VMXON
10825    64U,	// VORPDYrm
10826    8U,	// VORPDYrr
10827    80U,	// VORPDrm
10828    8U,	// VORPDrr
10829    64U,	// VORPSYrm
10830    8U,	// VORPSYrr
10831    80U,	// VORPSrm
10832    8U,	// VORPSrr
10833    0U,	// VPABSBrm128
10834    0U,	// VPABSBrm256
10835    0U,	// VPABSBrr128
10836    0U,	// VPABSBrr256
10837    0U,	// VPABSDZrm
10838    4U,	// VPABSDZrmb
10839    786U,	// VPABSDZrmbk
10840    786U,	// VPABSDZrmbkz
10841    98U,	// VPABSDZrmk
10842    98U,	// VPABSDZrmkz
10843    0U,	// VPABSDZrr
10844    10U,	// VPABSDZrrk
10845    10U,	// VPABSDZrrkz
10846    0U,	// VPABSDrm128
10847    0U,	// VPABSDrm256
10848    0U,	// VPABSDrr128
10849    0U,	// VPABSDrr256
10850    0U,	// VPABSQZrm
10851    5U,	// VPABSQZrmb
10852    538U,	// VPABSQZrmbk
10853    538U,	// VPABSQZrmbkz
10854    98U,	// VPABSQZrmk
10855    98U,	// VPABSQZrmkz
10856    0U,	// VPABSQZrr
10857    10U,	// VPABSQZrrk
10858    10U,	// VPABSQZrrkz
10859    0U,	// VPABSWrm128
10860    0U,	// VPABSWrm256
10861    0U,	// VPABSWrr128
10862    0U,	// VPABSWrr256
10863    104U,	// VPACKSSDWYrm
10864    8U,	// VPACKSSDWYrr
10865    88U,	// VPACKSSDWrm
10866    8U,	// VPACKSSDWrr
10867    104U,	// VPACKSSWBYrm
10868    8U,	// VPACKSSWBYrr
10869    88U,	// VPACKSSWBrm
10870    8U,	// VPACKSSWBrr
10871    104U,	// VPACKUSDWYrm
10872    8U,	// VPACKUSDWYrr
10873    88U,	// VPACKUSDWrm
10874    8U,	// VPACKUSDWrr
10875    104U,	// VPACKUSWBYrm
10876    8U,	// VPACKUSWBYrr
10877    88U,	// VPACKUSWBrm
10878    8U,	// VPACKUSWBrr
10879    104U,	// VPADDBYrm
10880    8U,	// VPADDBYrr
10881    88U,	// VPADDBrm
10882    8U,	// VPADDBrr
10883    104U,	// VPADDDYrm
10884    8U,	// VPADDDYrr
10885    96U,	// VPADDDZrm
10886    784U,	// VPADDDZrmb
10887    16682U,	// VPADDDZrmbk
10888    117002U,	// VPADDDZrmbkz
10889    20778U,	// VPADDDZrmk
10890    22794U,	// VPADDDZrmkz
10891    8U,	// VPADDDZrr
10892    298U,	// VPADDDZrrk
10893    8458U,	// VPADDDZrrkz
10894    88U,	// VPADDDrm
10895    8U,	// VPADDDrr
10896    104U,	// VPADDQYrm
10897    8U,	// VPADDQYrr
10898    96U,	// VPADDQZrm
10899    536U,	// VPADDQZrmb
10900    24874U,	// VPADDQZrmbk
10901    92426U,	// VPADDQZrmbkz
10902    20778U,	// VPADDQZrmk
10903    22794U,	// VPADDQZrmkz
10904    8U,	// VPADDQZrr
10905    298U,	// VPADDQZrrk
10906    8458U,	// VPADDQZrrkz
10907    88U,	// VPADDQrm
10908    8U,	// VPADDQrr
10909    104U,	// VPADDSBYrm
10910    8U,	// VPADDSBYrr
10911    88U,	// VPADDSBrm
10912    8U,	// VPADDSBrr
10913    104U,	// VPADDSWYrm
10914    8U,	// VPADDSWYrr
10915    88U,	// VPADDSWrm
10916    8U,	// VPADDSWrr
10917    104U,	// VPADDUSBYrm
10918    8U,	// VPADDUSBYrr
10919    88U,	// VPADDUSBrm
10920    8U,	// VPADDUSBrr
10921    104U,	// VPADDUSWYrm
10922    8U,	// VPADDUSWYrr
10923    88U,	// VPADDUSWrm
10924    8U,	// VPADDUSWrr
10925    104U,	// VPADDWYrm
10926    8U,	// VPADDWYrr
10927    88U,	// VPADDWrm
10928    8U,	// VPADDWrr
10929    2392U,	// VPALIGNR128rm
10930    8456U,	// VPALIGNR128rr
10931    2408U,	// VPALIGNR256rm
10932    8456U,	// VPALIGNR256rr
10933    96U,	// VPANDDZrm
10934    784U,	// VPANDDZrmb
10935    16682U,	// VPANDDZrmbk
10936    117002U,	// VPANDDZrmbkz
10937    20778U,	// VPANDDZrmk
10938    22794U,	// VPANDDZrmkz
10939    8U,	// VPANDDZrr
10940    298U,	// VPANDDZrrk
10941    8458U,	// VPANDDZrrkz
10942    96U,	// VPANDNDZrm
10943    784U,	// VPANDNDZrmb
10944    16682U,	// VPANDNDZrmbk
10945    117002U,	// VPANDNDZrmbkz
10946    20778U,	// VPANDNDZrmk
10947    22794U,	// VPANDNDZrmkz
10948    8U,	// VPANDNDZrr
10949    298U,	// VPANDNDZrrk
10950    8458U,	// VPANDNDZrrkz
10951    96U,	// VPANDNQZrm
10952    536U,	// VPANDNQZrmb
10953    24874U,	// VPANDNQZrmbk
10954    92426U,	// VPANDNQZrmbkz
10955    20778U,	// VPANDNQZrmk
10956    22794U,	// VPANDNQZrmkz
10957    8U,	// VPANDNQZrr
10958    298U,	// VPANDNQZrrk
10959    8458U,	// VPANDNQZrrkz
10960    104U,	// VPANDNYrm
10961    8U,	// VPANDNYrr
10962    88U,	// VPANDNrm
10963    8U,	// VPANDNrr
10964    96U,	// VPANDQZrm
10965    536U,	// VPANDQZrmb
10966    24874U,	// VPANDQZrmbk
10967    92426U,	// VPANDQZrmbkz
10968    20778U,	// VPANDQZrmk
10969    22794U,	// VPANDQZrmkz
10970    8U,	// VPANDQZrr
10971    298U,	// VPANDQZrrk
10972    8458U,	// VPANDQZrrkz
10973    104U,	// VPANDYrm
10974    8U,	// VPANDYrr
10975    88U,	// VPANDrm
10976    8U,	// VPANDrr
10977    104U,	// VPAVGBYrm
10978    8U,	// VPAVGBYrr
10979    88U,	// VPAVGBrm
10980    8U,	// VPAVGBrr
10981    104U,	// VPAVGWYrm
10982    8U,	// VPAVGWYrr
10983    88U,	// VPAVGWrm
10984    8U,	// VPAVGWrr
10985    2408U,	// VPBLENDDYrmi
10986    8456U,	// VPBLENDDYrri
10987    2392U,	// VPBLENDDrmi
10988    8456U,	// VPBLENDDrri
10989    6410U,	// VPBLENDMDZrm
10990    8458U,	// VPBLENDMDZrr
10991    6410U,	// VPBLENDMQZrm
10992    8458U,	// VPBLENDMQZrr
10993    2408U,	// VPBLENDVBYrm
10994    8456U,	// VPBLENDVBYrr
10995    2392U,	// VPBLENDVBrm
10996    8456U,	// VPBLENDVBrr
10997    2408U,	// VPBLENDWYrmi
10998    8456U,	// VPBLENDWYrri
10999    2392U,	// VPBLENDWrmi
11000    8456U,	// VPBLENDWrri
11001    0U,	// VPBROADCASTBYrm
11002    0U,	// VPBROADCASTBYrr
11003    0U,	// VPBROADCASTBrm
11004    0U,	// VPBROADCASTBrr
11005    0U,	// VPBROADCASTDYrm
11006    0U,	// VPBROADCASTDYrr
11007    18U,	// VPBROADCASTDZkrm
11008    10U,	// VPBROADCASTDZkrr
11009    0U,	// VPBROADCASTDZrm
11010    0U,	// VPBROADCASTDZrr
11011    10U,	// VPBROADCASTDrZkrr
11012    0U,	// VPBROADCASTDrZrr
11013    0U,	// VPBROADCASTDrm
11014    0U,	// VPBROADCASTDrr
11015    0U,	// VPBROADCASTMB2Qrr
11016    0U,	// VPBROADCASTMW2Drr
11017    0U,	// VPBROADCASTQYrm
11018    0U,	// VPBROADCASTQYrr
11019    26U,	// VPBROADCASTQZkrm
11020    10U,	// VPBROADCASTQZkrr
11021    0U,	// VPBROADCASTQZrm
11022    0U,	// VPBROADCASTQZrr
11023    10U,	// VPBROADCASTQrZkrr
11024    0U,	// VPBROADCASTQrZrr
11025    0U,	// VPBROADCASTQrm
11026    0U,	// VPBROADCASTQrr
11027    0U,	// VPBROADCASTWYrm
11028    0U,	// VPBROADCASTWYrr
11029    0U,	// VPBROADCASTWrm
11030    0U,	// VPBROADCASTWrr
11031    2392U,	// VPCLMULQDQrm
11032    8456U,	// VPCLMULQDQrr
11033    2392U,	// VPCMOVmr
11034    2368U,	// VPCMOVmrY
11035    28936U,	// VPCMOVrm
11036    30984U,	// VPCMOVrmY
11037    8456U,	// VPCMOVrr
11038    8456U,	// VPCMOVrrY
11039    0U,	// VPCMPDZrmi
11040    2400U,	// VPCMPDZrmi_alt
11041    55562U,	// VPCMPDZrmik_alt
11042    0U,	// VPCMPDZrri
11043    8456U,	// VPCMPDZrri_alt
11044    565514U,	// VPCMPDZrrik_alt
11045    104U,	// VPCMPEQBYrm
11046    8U,	// VPCMPEQBYrr
11047    88U,	// VPCMPEQBZ128rm
11048    28938U,	// VPCMPEQBZ128rmk
11049    8U,	// VPCMPEQBZ128rr
11050    8458U,	// VPCMPEQBZ128rrk
11051    104U,	// VPCMPEQBZ256rm
11052    30986U,	// VPCMPEQBZ256rmk
11053    8U,	// VPCMPEQBZ256rr
11054    8458U,	// VPCMPEQBZ256rrk
11055    96U,	// VPCMPEQBZrm
11056    22794U,	// VPCMPEQBZrmk
11057    8U,	// VPCMPEQBZrr
11058    8458U,	// VPCMPEQBZrrk
11059    88U,	// VPCMPEQBrm
11060    8U,	// VPCMPEQBrr
11061    104U,	// VPCMPEQDYrm
11062    8U,	// VPCMPEQDYrr
11063    88U,	// VPCMPEQDZ128rm
11064    1040U,	// VPCMPEQDZ128rmb
11065    149770U,	// VPCMPEQDZ128rmbk
11066    28938U,	// VPCMPEQDZ128rmk
11067    8U,	// VPCMPEQDZ128rr
11068    8458U,	// VPCMPEQDZ128rrk
11069    104U,	// VPCMPEQDZ256rm
11070    528U,	// VPCMPEQDZ256rmb
11071    84234U,	// VPCMPEQDZ256rmbk
11072    30986U,	// VPCMPEQDZ256rmk
11073    8U,	// VPCMPEQDZ256rr
11074    8458U,	// VPCMPEQDZ256rrk
11075    96U,	// VPCMPEQDZrm
11076    784U,	// VPCMPEQDZrmb
11077    117002U,	// VPCMPEQDZrmbk
11078    22794U,	// VPCMPEQDZrmk
11079    8U,	// VPCMPEQDZrr
11080    8458U,	// VPCMPEQDZrrk
11081    88U,	// VPCMPEQDrm
11082    8U,	// VPCMPEQDrr
11083    104U,	// VPCMPEQQYrm
11084    8U,	// VPCMPEQQYrr
11085    88U,	// VPCMPEQQZ128rm
11086    1304U,	// VPCMPEQQZ128rmb
11087    190730U,	// VPCMPEQQZ128rmbk
11088    28938U,	// VPCMPEQQZ128rmk
11089    8U,	// VPCMPEQQZ128rr
11090    8458U,	// VPCMPEQQZ128rrk
11091    104U,	// VPCMPEQQZ256rm
11092    1048U,	// VPCMPEQQZ256rmb
11093    157962U,	// VPCMPEQQZ256rmbk
11094    30986U,	// VPCMPEQQZ256rmk
11095    8U,	// VPCMPEQQZ256rr
11096    8458U,	// VPCMPEQQZ256rrk
11097    96U,	// VPCMPEQQZrm
11098    536U,	// VPCMPEQQZrmb
11099    92426U,	// VPCMPEQQZrmbk
11100    22794U,	// VPCMPEQQZrmk
11101    8U,	// VPCMPEQQZrr
11102    8458U,	// VPCMPEQQZrrk
11103    88U,	// VPCMPEQQrm
11104    8U,	// VPCMPEQQrr
11105    104U,	// VPCMPEQWYrm
11106    8U,	// VPCMPEQWYrr
11107    88U,	// VPCMPEQWZ128rm
11108    28938U,	// VPCMPEQWZ128rmk
11109    8U,	// VPCMPEQWZ128rr
11110    8458U,	// VPCMPEQWZ128rrk
11111    104U,	// VPCMPEQWZ256rm
11112    30986U,	// VPCMPEQWZ256rmk
11113    8U,	// VPCMPEQWZ256rr
11114    8458U,	// VPCMPEQWZ256rrk
11115    96U,	// VPCMPEQWZrm
11116    22794U,	// VPCMPEQWZrmk
11117    8U,	// VPCMPEQWZrr
11118    8458U,	// VPCMPEQWZrrk
11119    88U,	// VPCMPEQWrm
11120    8U,	// VPCMPEQWrr
11121    0U,	// VPCMPESTRIMEM
11122    0U,	// VPCMPESTRIREG
11123    0U,	// VPCMPESTRIrm
11124    8U,	// VPCMPESTRIrr
11125    0U,	// VPCMPESTRM128MEM
11126    0U,	// VPCMPESTRM128REG
11127    0U,	// VPCMPESTRM128rm
11128    8U,	// VPCMPESTRM128rr
11129    104U,	// VPCMPGTBYrm
11130    8U,	// VPCMPGTBYrr
11131    88U,	// VPCMPGTBZ128rm
11132    28938U,	// VPCMPGTBZ128rmk
11133    8U,	// VPCMPGTBZ128rr
11134    8458U,	// VPCMPGTBZ128rrk
11135    104U,	// VPCMPGTBZ256rm
11136    30986U,	// VPCMPGTBZ256rmk
11137    8U,	// VPCMPGTBZ256rr
11138    8458U,	// VPCMPGTBZ256rrk
11139    96U,	// VPCMPGTBZrm
11140    22794U,	// VPCMPGTBZrmk
11141    8U,	// VPCMPGTBZrr
11142    8458U,	// VPCMPGTBZrrk
11143    88U,	// VPCMPGTBrm
11144    8U,	// VPCMPGTBrr
11145    104U,	// VPCMPGTDYrm
11146    8U,	// VPCMPGTDYrr
11147    88U,	// VPCMPGTDZ128rm
11148    1040U,	// VPCMPGTDZ128rmb
11149    149770U,	// VPCMPGTDZ128rmbk
11150    28938U,	// VPCMPGTDZ128rmk
11151    8U,	// VPCMPGTDZ128rr
11152    8458U,	// VPCMPGTDZ128rrk
11153    104U,	// VPCMPGTDZ256rm
11154    528U,	// VPCMPGTDZ256rmb
11155    84234U,	// VPCMPGTDZ256rmbk
11156    30986U,	// VPCMPGTDZ256rmk
11157    8U,	// VPCMPGTDZ256rr
11158    8458U,	// VPCMPGTDZ256rrk
11159    96U,	// VPCMPGTDZrm
11160    784U,	// VPCMPGTDZrmb
11161    117002U,	// VPCMPGTDZrmbk
11162    22794U,	// VPCMPGTDZrmk
11163    8U,	// VPCMPGTDZrr
11164    8458U,	// VPCMPGTDZrrk
11165    88U,	// VPCMPGTDrm
11166    8U,	// VPCMPGTDrr
11167    104U,	// VPCMPGTQYrm
11168    8U,	// VPCMPGTQYrr
11169    88U,	// VPCMPGTQZ128rm
11170    1304U,	// VPCMPGTQZ128rmb
11171    190730U,	// VPCMPGTQZ128rmbk
11172    28938U,	// VPCMPGTQZ128rmk
11173    8U,	// VPCMPGTQZ128rr
11174    8458U,	// VPCMPGTQZ128rrk
11175    104U,	// VPCMPGTQZ256rm
11176    1048U,	// VPCMPGTQZ256rmb
11177    157962U,	// VPCMPGTQZ256rmbk
11178    30986U,	// VPCMPGTQZ256rmk
11179    8U,	// VPCMPGTQZ256rr
11180    8458U,	// VPCMPGTQZ256rrk
11181    96U,	// VPCMPGTQZrm
11182    536U,	// VPCMPGTQZrmb
11183    92426U,	// VPCMPGTQZrmbk
11184    22794U,	// VPCMPGTQZrmk
11185    8U,	// VPCMPGTQZrr
11186    8458U,	// VPCMPGTQZrrk
11187    88U,	// VPCMPGTQrm
11188    8U,	// VPCMPGTQrr
11189    104U,	// VPCMPGTWYrm
11190    8U,	// VPCMPGTWYrr
11191    88U,	// VPCMPGTWZ128rm
11192    28938U,	// VPCMPGTWZ128rmk
11193    8U,	// VPCMPGTWZ128rr
11194    8458U,	// VPCMPGTWZ128rrk
11195    104U,	// VPCMPGTWZ256rm
11196    30986U,	// VPCMPGTWZ256rmk
11197    8U,	// VPCMPGTWZ256rr
11198    8458U,	// VPCMPGTWZ256rrk
11199    96U,	// VPCMPGTWZrm
11200    22794U,	// VPCMPGTWZrmk
11201    8U,	// VPCMPGTWZrr
11202    8458U,	// VPCMPGTWZrrk
11203    88U,	// VPCMPGTWrm
11204    8U,	// VPCMPGTWrr
11205    0U,	// VPCMPISTRIMEM
11206    0U,	// VPCMPISTRIREG
11207    0U,	// VPCMPISTRIrm
11208    8U,	// VPCMPISTRIrr
11209    0U,	// VPCMPISTRM128MEM
11210    0U,	// VPCMPISTRM128REG
11211    0U,	// VPCMPISTRM128rm
11212    8U,	// VPCMPISTRM128rr
11213    0U,	// VPCMPQZrmi
11214    2400U,	// VPCMPQZrmi_alt
11215    55562U,	// VPCMPQZrmik_alt
11216    0U,	// VPCMPQZrri
11217    8456U,	// VPCMPQZrri_alt
11218    565514U,	// VPCMPQZrrik_alt
11219    0U,	// VPCMPUDZrmi
11220    2400U,	// VPCMPUDZrmi_alt
11221    55562U,	// VPCMPUDZrmik_alt
11222    0U,	// VPCMPUDZrri
11223    8456U,	// VPCMPUDZrri_alt
11224    565514U,	// VPCMPUDZrrik_alt
11225    0U,	// VPCMPUQZrmi
11226    2400U,	// VPCMPUQZrmi_alt
11227    55562U,	// VPCMPUQZrmik_alt
11228    0U,	// VPCMPUQZrri
11229    8456U,	// VPCMPUQZrri_alt
11230    565514U,	// VPCMPUQZrrik_alt
11231    2392U,	// VPCOMBmi
11232    8456U,	// VPCOMBri
11233    2392U,	// VPCOMDmi
11234    8456U,	// VPCOMDri
11235    2392U,	// VPCOMQmi
11236    8456U,	// VPCOMQri
11237    2392U,	// VPCOMUBmi
11238    8456U,	// VPCOMUBri
11239    2392U,	// VPCOMUDmi
11240    8456U,	// VPCOMUDri
11241    2392U,	// VPCOMUQmi
11242    8456U,	// VPCOMUQri
11243    2392U,	// VPCOMUWmi
11244    8456U,	// VPCOMUWri
11245    2392U,	// VPCOMWmi
11246    8456U,	// VPCOMWri
11247    0U,	// VPCONFLICTDrm
11248    4U,	// VPCONFLICTDrmb
11249    186U,	// VPCONFLICTDrmbk
11250    786U,	// VPCONFLICTDrmbkz
11251    178U,	// VPCONFLICTDrmk
11252    98U,	// VPCONFLICTDrmkz
11253    0U,	// VPCONFLICTDrr
11254    42U,	// VPCONFLICTDrrk
11255    10U,	// VPCONFLICTDrrkz
11256    0U,	// VPCONFLICTQrm
11257    5U,	// VPCONFLICTQrmb
11258    194U,	// VPCONFLICTQrmbk
11259    538U,	// VPCONFLICTQrmbkz
11260    178U,	// VPCONFLICTQrmk
11261    98U,	// VPCONFLICTQrmkz
11262    0U,	// VPCONFLICTQrr
11263    42U,	// VPCONFLICTQrrk
11264    10U,	// VPCONFLICTQrrkz
11265    2368U,	// VPERM2F128rm
11266    8456U,	// VPERM2F128rr
11267    2368U,	// VPERM2I128rm
11268    8456U,	// VPERM2I128rr
11269    104U,	// VPERMDYrm
11270    8U,	// VPERMDYrr
11271    96U,	// VPERMDZrm
11272    8U,	// VPERMDZrr
11273    176U,	// VPERMI2Drm
11274    20778U,	// VPERMI2Drmk
11275    20778U,	// VPERMI2Drmkz
11276    40U,	// VPERMI2Drr
11277    298U,	// VPERMI2Drrk
11278    298U,	// VPERMI2Drrkz
11279    176U,	// VPERMI2PDrm
11280    20778U,	// VPERMI2PDrmk
11281    20778U,	// VPERMI2PDrmkz
11282    40U,	// VPERMI2PDrr
11283    298U,	// VPERMI2PDrrk
11284    298U,	// VPERMI2PDrrkz
11285    176U,	// VPERMI2PSrm
11286    20778U,	// VPERMI2PSrmk
11287    20778U,	// VPERMI2PSrmkz
11288    40U,	// VPERMI2PSrr
11289    298U,	// VPERMI2PSrrk
11290    298U,	// VPERMI2PSrrkz
11291    176U,	// VPERMI2Qrm
11292    20778U,	// VPERMI2Qrmk
11293    20778U,	// VPERMI2Qrmkz
11294    40U,	// VPERMI2Qrr
11295    298U,	// VPERMI2Qrrk
11296    298U,	// VPERMI2Qrrkz
11297    35152U,	// VPERMIL2PDmr
11298    35136U,	// VPERMIL2PDmrY
11299    45320U,	// VPERMIL2PDrm
11300    47368U,	// VPERMIL2PDrmY
11301    565512U,	// VPERMIL2PDrr
11302    565512U,	// VPERMIL2PDrrY
11303    35152U,	// VPERMIL2PSmr
11304    35136U,	// VPERMIL2PSmrY
11305    45320U,	// VPERMIL2PSrm
11306    47368U,	// VPERMIL2PSrmY
11307    565512U,	// VPERMIL2PSrr
11308    565512U,	// VPERMIL2PSrrY
11309    0U,	// VPERMILPDYmi
11310    8U,	// VPERMILPDYri
11311    104U,	// VPERMILPDYrm
11312    8U,	// VPERMILPDYrr
11313    0U,	// VPERMILPDZmi
11314    8U,	// VPERMILPDZri
11315    0U,	// VPERMILPDmi
11316    8U,	// VPERMILPDri
11317    88U,	// VPERMILPDrm
11318    8U,	// VPERMILPDrr
11319    0U,	// VPERMILPSYmi
11320    8U,	// VPERMILPSYri
11321    104U,	// VPERMILPSYrm
11322    8U,	// VPERMILPSYrr
11323    0U,	// VPERMILPSZmi
11324    8U,	// VPERMILPSZri
11325    0U,	// VPERMILPSmi
11326    8U,	// VPERMILPSri
11327    88U,	// VPERMILPSrm
11328    8U,	// VPERMILPSrr
11329    0U,	// VPERMPDYmi
11330    8U,	// VPERMPDYri
11331    0U,	// VPERMPDZmi
11332    8U,	// VPERMPDZri
11333    72U,	// VPERMPDZrm
11334    8U,	// VPERMPDZrr
11335    104U,	// VPERMPSYrm
11336    8U,	// VPERMPSYrr
11337    72U,	// VPERMPSZrm
11338    8U,	// VPERMPSZrr
11339    0U,	// VPERMQYmi
11340    8U,	// VPERMQYri
11341    0U,	// VPERMQZmi
11342    8U,	// VPERMQZri
11343    96U,	// VPERMQZrm
11344    8U,	// VPERMQZrr
11345    176U,	// VPERMT2Drm
11346    20778U,	// VPERMT2Drmk
11347    20778U,	// VPERMT2Drmkz
11348    40U,	// VPERMT2Drr
11349    298U,	// VPERMT2Drrk
11350    298U,	// VPERMT2Drrkz
11351    176U,	// VPERMT2PDrm
11352    20778U,	// VPERMT2PDrmk
11353    20778U,	// VPERMT2PDrmkz
11354    40U,	// VPERMT2PDrr
11355    298U,	// VPERMT2PDrrk
11356    298U,	// VPERMT2PDrrkz
11357    176U,	// VPERMT2PSrm
11358    20778U,	// VPERMT2PSrmk
11359    20778U,	// VPERMT2PSrmkz
11360    40U,	// VPERMT2PSrr
11361    298U,	// VPERMT2PSrrk
11362    298U,	// VPERMT2PSrrkz
11363    176U,	// VPERMT2Qrm
11364    20778U,	// VPERMT2Qrmk
11365    20778U,	// VPERMT2Qrmkz
11366    40U,	// VPERMT2Qrr
11367    298U,	// VPERMT2Qrrk
11368    298U,	// VPERMT2Qrrkz
11369    0U,	// VPEXTRBmr
11370    8U,	// VPEXTRBrr
11371    0U,	// VPEXTRDmr
11372    8U,	// VPEXTRDrr
11373    0U,	// VPEXTRQmr
11374    8U,	// VPEXTRQrr
11375    0U,	// VPEXTRWmr
11376    8U,	// VPEXTRWri
11377    8U,	// VPEXTRWrr_REV
11378    0U,	// VPGATHERDDYrm
11379    4U,	// VPGATHERDDZrm
11380    0U,	// VPGATHERDDrm
11381    0U,	// VPGATHERDQYrm
11382    3U,	// VPGATHERDQZrm
11383    0U,	// VPGATHERDQrm
11384    0U,	// VPGATHERQDYrm
11385    3U,	// VPGATHERQDZrm
11386    0U,	// VPGATHERQDrm
11387    0U,	// VPGATHERQQYrm
11388    3U,	// VPGATHERQQZrm
11389    0U,	// VPGATHERQQrm
11390    0U,	// VPHADDBDrm
11391    0U,	// VPHADDBDrr
11392    0U,	// VPHADDBQrm
11393    0U,	// VPHADDBQrr
11394    0U,	// VPHADDBWrm
11395    0U,	// VPHADDBWrr
11396    0U,	// VPHADDDQrm
11397    0U,	// VPHADDDQrr
11398    104U,	// VPHADDDYrm
11399    8U,	// VPHADDDYrr
11400    88U,	// VPHADDDrm
11401    8U,	// VPHADDDrr
11402    88U,	// VPHADDSWrm128
11403    104U,	// VPHADDSWrm256
11404    8U,	// VPHADDSWrr128
11405    8U,	// VPHADDSWrr256
11406    0U,	// VPHADDUBDrm
11407    0U,	// VPHADDUBDrr
11408    0U,	// VPHADDUBQrm
11409    0U,	// VPHADDUBQrr
11410    0U,	// VPHADDUBWrm
11411    0U,	// VPHADDUBWrr
11412    0U,	// VPHADDUDQrm
11413    0U,	// VPHADDUDQrr
11414    0U,	// VPHADDUWDrm
11415    0U,	// VPHADDUWDrr
11416    0U,	// VPHADDUWQrm
11417    0U,	// VPHADDUWQrr
11418    0U,	// VPHADDWDrm
11419    0U,	// VPHADDWDrr
11420    0U,	// VPHADDWQrm
11421    0U,	// VPHADDWQrr
11422    104U,	// VPHADDWYrm
11423    8U,	// VPHADDWYrr
11424    88U,	// VPHADDWrm
11425    8U,	// VPHADDWrr
11426    0U,	// VPHMINPOSUWrm128
11427    0U,	// VPHMINPOSUWrr128
11428    0U,	// VPHSUBBWrm
11429    0U,	// VPHSUBBWrr
11430    0U,	// VPHSUBDQrm
11431    0U,	// VPHSUBDQrr
11432    104U,	// VPHSUBDYrm
11433    8U,	// VPHSUBDYrr
11434    88U,	// VPHSUBDrm
11435    8U,	// VPHSUBDrr
11436    88U,	// VPHSUBSWrm128
11437    104U,	// VPHSUBSWrm256
11438    8U,	// VPHSUBSWrr128
11439    8U,	// VPHSUBSWrr256
11440    0U,	// VPHSUBWDrm
11441    0U,	// VPHSUBWDrr
11442    104U,	// VPHSUBWYrm
11443    8U,	// VPHSUBWYrr
11444    88U,	// VPHSUBWrm
11445    8U,	// VPHSUBWrr
11446    200U,	// VPINSRBrm
11447    8456U,	// VPINSRBrr
11448    2320U,	// VPINSRDrm
11449    8456U,	// VPINSRDrr
11450    2328U,	// VPINSRQrm
11451    8456U,	// VPINSRQrr
11452    208U,	// VPINSRWrmi
11453    8456U,	// VPINSRWrri
11454    0U,	// VPLZCNTDrm
11455    4U,	// VPLZCNTDrmb
11456    186U,	// VPLZCNTDrmbk
11457    786U,	// VPLZCNTDrmbkz
11458    178U,	// VPLZCNTDrmk
11459    98U,	// VPLZCNTDrmkz
11460    0U,	// VPLZCNTDrr
11461    42U,	// VPLZCNTDrrk
11462    10U,	// VPLZCNTDrrkz
11463    0U,	// VPLZCNTQrm
11464    5U,	// VPLZCNTQrmb
11465    194U,	// VPLZCNTQrmbk
11466    538U,	// VPLZCNTQrmbkz
11467    178U,	// VPLZCNTQrmk
11468    98U,	// VPLZCNTQrmkz
11469    0U,	// VPLZCNTQrr
11470    42U,	// VPLZCNTQrrk
11471    10U,	// VPLZCNTQrrkz
11472    2392U,	// VPMACSDDrm
11473    8456U,	// VPMACSDDrr
11474    2392U,	// VPMACSDQHrm
11475    8456U,	// VPMACSDQHrr
11476    2392U,	// VPMACSDQLrm
11477    8456U,	// VPMACSDQLrr
11478    2392U,	// VPMACSSDDrm
11479    8456U,	// VPMACSSDDrr
11480    2392U,	// VPMACSSDQHrm
11481    8456U,	// VPMACSSDQHrr
11482    2392U,	// VPMACSSDQLrm
11483    8456U,	// VPMACSSDQLrr
11484    2392U,	// VPMACSSWDrm
11485    8456U,	// VPMACSSWDrr
11486    2392U,	// VPMACSSWWrm
11487    8456U,	// VPMACSSWWrr
11488    2392U,	// VPMACSWDrm
11489    8456U,	// VPMACSWDrr
11490    2392U,	// VPMACSWWrm
11491    8456U,	// VPMACSWWrr
11492    2392U,	// VPMADCSSWDrm
11493    8456U,	// VPMADCSSWDrr
11494    2392U,	// VPMADCSWDrm
11495    8456U,	// VPMADCSWDrr
11496    88U,	// VPMADDUBSWrm128
11497    104U,	// VPMADDUBSWrm256
11498    8U,	// VPMADDUBSWrr128
11499    8U,	// VPMADDUBSWrr256
11500    104U,	// VPMADDWDYrm
11501    8U,	// VPMADDWDYrr
11502    88U,	// VPMADDWDrm
11503    8U,	// VPMADDWDrr
11504    0U,	// VPMASKMOVDYmr
11505    104U,	// VPMASKMOVDYrm
11506    0U,	// VPMASKMOVDmr
11507    88U,	// VPMASKMOVDrm
11508    0U,	// VPMASKMOVQYmr
11509    104U,	// VPMASKMOVQYrm
11510    0U,	// VPMASKMOVQmr
11511    88U,	// VPMASKMOVQrm
11512    104U,	// VPMAXSBYrm
11513    8U,	// VPMAXSBYrr
11514    88U,	// VPMAXSBrm
11515    8U,	// VPMAXSBrr
11516    104U,	// VPMAXSDYrm
11517    8U,	// VPMAXSDYrr
11518    96U,	// VPMAXSDZrm
11519    784U,	// VPMAXSDZrmb
11520    16682U,	// VPMAXSDZrmbk
11521    117002U,	// VPMAXSDZrmbkz
11522    20778U,	// VPMAXSDZrmk
11523    22794U,	// VPMAXSDZrmkz
11524    8U,	// VPMAXSDZrr
11525    298U,	// VPMAXSDZrrk
11526    8458U,	// VPMAXSDZrrkz
11527    88U,	// VPMAXSDrm
11528    8U,	// VPMAXSDrr
11529    96U,	// VPMAXSQZrm
11530    536U,	// VPMAXSQZrmb
11531    24874U,	// VPMAXSQZrmbk
11532    92426U,	// VPMAXSQZrmbkz
11533    20778U,	// VPMAXSQZrmk
11534    22794U,	// VPMAXSQZrmkz
11535    8U,	// VPMAXSQZrr
11536    298U,	// VPMAXSQZrrk
11537    8458U,	// VPMAXSQZrrkz
11538    104U,	// VPMAXSWYrm
11539    8U,	// VPMAXSWYrr
11540    88U,	// VPMAXSWrm
11541    8U,	// VPMAXSWrr
11542    104U,	// VPMAXUBYrm
11543    8U,	// VPMAXUBYrr
11544    88U,	// VPMAXUBrm
11545    8U,	// VPMAXUBrr
11546    104U,	// VPMAXUDYrm
11547    8U,	// VPMAXUDYrr
11548    96U,	// VPMAXUDZrm
11549    784U,	// VPMAXUDZrmb
11550    16682U,	// VPMAXUDZrmbk
11551    117002U,	// VPMAXUDZrmbkz
11552    20778U,	// VPMAXUDZrmk
11553    22794U,	// VPMAXUDZrmkz
11554    8U,	// VPMAXUDZrr
11555    298U,	// VPMAXUDZrrk
11556    8458U,	// VPMAXUDZrrkz
11557    88U,	// VPMAXUDrm
11558    8U,	// VPMAXUDrr
11559    96U,	// VPMAXUQZrm
11560    536U,	// VPMAXUQZrmb
11561    24874U,	// VPMAXUQZrmbk
11562    92426U,	// VPMAXUQZrmbkz
11563    20778U,	// VPMAXUQZrmk
11564    22794U,	// VPMAXUQZrmkz
11565    8U,	// VPMAXUQZrr
11566    298U,	// VPMAXUQZrrk
11567    8458U,	// VPMAXUQZrrkz
11568    104U,	// VPMAXUWYrm
11569    8U,	// VPMAXUWYrr
11570    88U,	// VPMAXUWrm
11571    8U,	// VPMAXUWrr
11572    104U,	// VPMINSBYrm
11573    8U,	// VPMINSBYrr
11574    88U,	// VPMINSBrm
11575    8U,	// VPMINSBrr
11576    104U,	// VPMINSDYrm
11577    8U,	// VPMINSDYrr
11578    96U,	// VPMINSDZrm
11579    784U,	// VPMINSDZrmb
11580    16682U,	// VPMINSDZrmbk
11581    117002U,	// VPMINSDZrmbkz
11582    20778U,	// VPMINSDZrmk
11583    22794U,	// VPMINSDZrmkz
11584    8U,	// VPMINSDZrr
11585    298U,	// VPMINSDZrrk
11586    8458U,	// VPMINSDZrrkz
11587    88U,	// VPMINSDrm
11588    8U,	// VPMINSDrr
11589    96U,	// VPMINSQZrm
11590    536U,	// VPMINSQZrmb
11591    24874U,	// VPMINSQZrmbk
11592    92426U,	// VPMINSQZrmbkz
11593    20778U,	// VPMINSQZrmk
11594    22794U,	// VPMINSQZrmkz
11595    8U,	// VPMINSQZrr
11596    298U,	// VPMINSQZrrk
11597    8458U,	// VPMINSQZrrkz
11598    104U,	// VPMINSWYrm
11599    8U,	// VPMINSWYrr
11600    88U,	// VPMINSWrm
11601    8U,	// VPMINSWrr
11602    104U,	// VPMINUBYrm
11603    8U,	// VPMINUBYrr
11604    88U,	// VPMINUBrm
11605    8U,	// VPMINUBrr
11606    104U,	// VPMINUDYrm
11607    8U,	// VPMINUDYrr
11608    96U,	// VPMINUDZrm
11609    784U,	// VPMINUDZrmb
11610    16682U,	// VPMINUDZrmbk
11611    117002U,	// VPMINUDZrmbkz
11612    20778U,	// VPMINUDZrmk
11613    22794U,	// VPMINUDZrmkz
11614    8U,	// VPMINUDZrr
11615    298U,	// VPMINUDZrrk
11616    8458U,	// VPMINUDZrrkz
11617    88U,	// VPMINUDrm
11618    8U,	// VPMINUDrr
11619    96U,	// VPMINUQZrm
11620    536U,	// VPMINUQZrmb
11621    24874U,	// VPMINUQZrmbk
11622    92426U,	// VPMINUQZrmbkz
11623    20778U,	// VPMINUQZrmk
11624    22794U,	// VPMINUQZrmkz
11625    8U,	// VPMINUQZrr
11626    298U,	// VPMINUQZrrk
11627    8458U,	// VPMINUQZrrkz
11628    104U,	// VPMINUWYrm
11629    8U,	// VPMINUWYrr
11630    88U,	// VPMINUWrm
11631    8U,	// VPMINUWrr
11632    0U,	// VPMOVDBmr
11633    2U,	// VPMOVDBmrk
11634    0U,	// VPMOVDBrr
11635    10U,	// VPMOVDBrrk
11636    10U,	// VPMOVDBrrkz
11637    0U,	// VPMOVDWmr
11638    2U,	// VPMOVDWmrk
11639    0U,	// VPMOVDWrr
11640    10U,	// VPMOVDWrrk
11641    10U,	// VPMOVDWrrkz
11642    0U,	// VPMOVMSKBYrr
11643    0U,	// VPMOVMSKBrr
11644    0U,	// VPMOVQBmr
11645    2U,	// VPMOVQBmrk
11646    0U,	// VPMOVQBrr
11647    10U,	// VPMOVQBrrk
11648    10U,	// VPMOVQBrrkz
11649    0U,	// VPMOVQDmr
11650    2U,	// VPMOVQDmrk
11651    0U,	// VPMOVQDrr
11652    10U,	// VPMOVQDrrk
11653    10U,	// VPMOVQDrrkz
11654    0U,	// VPMOVQWmr
11655    2U,	// VPMOVQWmrk
11656    0U,	// VPMOVQWrr
11657    10U,	// VPMOVQWrrk
11658    10U,	// VPMOVQWrrkz
11659    0U,	// VPMOVSDBmr
11660    2U,	// VPMOVSDBmrk
11661    0U,	// VPMOVSDBrr
11662    10U,	// VPMOVSDBrrk
11663    10U,	// VPMOVSDBrrkz
11664    0U,	// VPMOVSDWmr
11665    2U,	// VPMOVSDWmrk
11666    0U,	// VPMOVSDWrr
11667    10U,	// VPMOVSDWrrk
11668    10U,	// VPMOVSDWrrkz
11669    0U,	// VPMOVSQBmr
11670    2U,	// VPMOVSQBmrk
11671    0U,	// VPMOVSQBrr
11672    10U,	// VPMOVSQBrrk
11673    10U,	// VPMOVSQBrrkz
11674    0U,	// VPMOVSQDmr
11675    2U,	// VPMOVSQDmrk
11676    0U,	// VPMOVSQDrr
11677    10U,	// VPMOVSQDrrk
11678    10U,	// VPMOVSQDrrkz
11679    0U,	// VPMOVSQWmr
11680    2U,	// VPMOVSQWmrk
11681    0U,	// VPMOVSQWrr
11682    10U,	// VPMOVSQWrrk
11683    10U,	// VPMOVSQWrrkz
11684    0U,	// VPMOVSXBDYrm
11685    0U,	// VPMOVSXBDYrr
11686    0U,	// VPMOVSXBDZrm
11687    90U,	// VPMOVSXBDZrmk
11688    90U,	// VPMOVSXBDZrmkz
11689    0U,	// VPMOVSXBDZrr
11690    10U,	// VPMOVSXBDZrrk
11691    10U,	// VPMOVSXBDZrrkz
11692    0U,	// VPMOVSXBDrm
11693    0U,	// VPMOVSXBDrr
11694    0U,	// VPMOVSXBQYrm
11695    0U,	// VPMOVSXBQYrr
11696    0U,	// VPMOVSXBQZrm
11697    90U,	// VPMOVSXBQZrmk
11698    90U,	// VPMOVSXBQZrmkz
11699    0U,	// VPMOVSXBQZrr
11700    10U,	// VPMOVSXBQZrrk
11701    10U,	// VPMOVSXBQZrrkz
11702    0U,	// VPMOVSXBQrm
11703    0U,	// VPMOVSXBQrr
11704    0U,	// VPMOVSXBWYrm
11705    0U,	// VPMOVSXBWYrr
11706    0U,	// VPMOVSXBWrm
11707    0U,	// VPMOVSXBWrr
11708    0U,	// VPMOVSXDQYrm
11709    0U,	// VPMOVSXDQYrr
11710    0U,	// VPMOVSXDQZrm
11711    106U,	// VPMOVSXDQZrmk
11712    106U,	// VPMOVSXDQZrmkz
11713    0U,	// VPMOVSXDQZrr
11714    10U,	// VPMOVSXDQZrrk
11715    10U,	// VPMOVSXDQZrrkz
11716    0U,	// VPMOVSXDQrm
11717    0U,	// VPMOVSXDQrr
11718    0U,	// VPMOVSXWDYrm
11719    0U,	// VPMOVSXWDYrr
11720    0U,	// VPMOVSXWDZrm
11721    106U,	// VPMOVSXWDZrmk
11722    106U,	// VPMOVSXWDZrmkz
11723    0U,	// VPMOVSXWDZrr
11724    10U,	// VPMOVSXWDZrrk
11725    10U,	// VPMOVSXWDZrrkz
11726    0U,	// VPMOVSXWDrm
11727    0U,	// VPMOVSXWDrr
11728    0U,	// VPMOVSXWQYrm
11729    0U,	// VPMOVSXWQYrr
11730    0U,	// VPMOVSXWQZrm
11731    90U,	// VPMOVSXWQZrmk
11732    90U,	// VPMOVSXWQZrmkz
11733    0U,	// VPMOVSXWQZrr
11734    10U,	// VPMOVSXWQZrrk
11735    10U,	// VPMOVSXWQZrrkz
11736    0U,	// VPMOVSXWQrm
11737    0U,	// VPMOVSXWQrr
11738    0U,	// VPMOVUSDBmr
11739    2U,	// VPMOVUSDBmrk
11740    0U,	// VPMOVUSDBrr
11741    10U,	// VPMOVUSDBrrk
11742    10U,	// VPMOVUSDBrrkz
11743    0U,	// VPMOVUSDWmr
11744    2U,	// VPMOVUSDWmrk
11745    0U,	// VPMOVUSDWrr
11746    10U,	// VPMOVUSDWrrk
11747    10U,	// VPMOVUSDWrrkz
11748    0U,	// VPMOVUSQBmr
11749    2U,	// VPMOVUSQBmrk
11750    0U,	// VPMOVUSQBrr
11751    10U,	// VPMOVUSQBrrk
11752    10U,	// VPMOVUSQBrrkz
11753    0U,	// VPMOVUSQDmr
11754    2U,	// VPMOVUSQDmrk
11755    0U,	// VPMOVUSQDrr
11756    10U,	// VPMOVUSQDrrk
11757    10U,	// VPMOVUSQDrrkz
11758    0U,	// VPMOVUSQWmr
11759    2U,	// VPMOVUSQWmrk
11760    0U,	// VPMOVUSQWrr
11761    10U,	// VPMOVUSQWrrk
11762    10U,	// VPMOVUSQWrrkz
11763    0U,	// VPMOVZXBDYrm
11764    0U,	// VPMOVZXBDYrr
11765    0U,	// VPMOVZXBDZrm
11766    90U,	// VPMOVZXBDZrmk
11767    90U,	// VPMOVZXBDZrmkz
11768    0U,	// VPMOVZXBDZrr
11769    10U,	// VPMOVZXBDZrrk
11770    10U,	// VPMOVZXBDZrrkz
11771    0U,	// VPMOVZXBDrm
11772    0U,	// VPMOVZXBDrr
11773    0U,	// VPMOVZXBQYrm
11774    0U,	// VPMOVZXBQYrr
11775    0U,	// VPMOVZXBQZrm
11776    90U,	// VPMOVZXBQZrmk
11777    90U,	// VPMOVZXBQZrmkz
11778    0U,	// VPMOVZXBQZrr
11779    10U,	// VPMOVZXBQZrrk
11780    10U,	// VPMOVZXBQZrrkz
11781    0U,	// VPMOVZXBQrm
11782    0U,	// VPMOVZXBQrr
11783    0U,	// VPMOVZXBWYrm
11784    0U,	// VPMOVZXBWYrr
11785    0U,	// VPMOVZXBWrm
11786    0U,	// VPMOVZXBWrr
11787    0U,	// VPMOVZXDQYrm
11788    0U,	// VPMOVZXDQYrr
11789    0U,	// VPMOVZXDQZrm
11790    106U,	// VPMOVZXDQZrmk
11791    106U,	// VPMOVZXDQZrmkz
11792    0U,	// VPMOVZXDQZrr
11793    10U,	// VPMOVZXDQZrrk
11794    10U,	// VPMOVZXDQZrrkz
11795    0U,	// VPMOVZXDQrm
11796    0U,	// VPMOVZXDQrr
11797    0U,	// VPMOVZXWDYrm
11798    0U,	// VPMOVZXWDYrr
11799    0U,	// VPMOVZXWDZrm
11800    106U,	// VPMOVZXWDZrmk
11801    106U,	// VPMOVZXWDZrmkz
11802    0U,	// VPMOVZXWDZrr
11803    10U,	// VPMOVZXWDZrrk
11804    10U,	// VPMOVZXWDZrrkz
11805    0U,	// VPMOVZXWDrm
11806    0U,	// VPMOVZXWDrr
11807    0U,	// VPMOVZXWQYrm
11808    0U,	// VPMOVZXWQYrr
11809    0U,	// VPMOVZXWQZrm
11810    90U,	// VPMOVZXWQZrmk
11811    90U,	// VPMOVZXWQZrmkz
11812    0U,	// VPMOVZXWQZrr
11813    10U,	// VPMOVZXWQZrrk
11814    10U,	// VPMOVZXWQZrrkz
11815    0U,	// VPMOVZXWQrm
11816    0U,	// VPMOVZXWQrr
11817    104U,	// VPMULDQYrm
11818    8U,	// VPMULDQYrr
11819    96U,	// VPMULDQZrm
11820    536U,	// VPMULDQZrmb
11821    92426U,	// VPMULDQZrmbk
11822    92426U,	// VPMULDQZrmbkz
11823    22794U,	// VPMULDQZrmk
11824    22794U,	// VPMULDQZrmkz
11825    8U,	// VPMULDQZrr
11826    8458U,	// VPMULDQZrrk
11827    8458U,	// VPMULDQZrrkz
11828    88U,	// VPMULDQrm
11829    8U,	// VPMULDQrr
11830    88U,	// VPMULHRSWrm128
11831    104U,	// VPMULHRSWrm256
11832    8U,	// VPMULHRSWrr128
11833    8U,	// VPMULHRSWrr256
11834    104U,	// VPMULHUWYrm
11835    8U,	// VPMULHUWYrr
11836    88U,	// VPMULHUWrm
11837    8U,	// VPMULHUWrr
11838    104U,	// VPMULHWYrm
11839    8U,	// VPMULHWYrr
11840    88U,	// VPMULHWrm
11841    8U,	// VPMULHWrr
11842    104U,	// VPMULLDYrm
11843    8U,	// VPMULLDYrr
11844    96U,	// VPMULLDZrm
11845    784U,	// VPMULLDZrmb
11846    16682U,	// VPMULLDZrmbk
11847    117002U,	// VPMULLDZrmbkz
11848    20778U,	// VPMULLDZrmk
11849    22794U,	// VPMULLDZrmkz
11850    8U,	// VPMULLDZrr
11851    298U,	// VPMULLDZrrk
11852    8458U,	// VPMULLDZrrkz
11853    88U,	// VPMULLDrm
11854    8U,	// VPMULLDrr
11855    104U,	// VPMULLWYrm
11856    8U,	// VPMULLWYrr
11857    88U,	// VPMULLWrm
11858    8U,	// VPMULLWrr
11859    104U,	// VPMULUDQYrm
11860    8U,	// VPMULUDQYrr
11861    96U,	// VPMULUDQZrm
11862    536U,	// VPMULUDQZrmb
11863    92426U,	// VPMULUDQZrmbk
11864    92426U,	// VPMULUDQZrmbkz
11865    22794U,	// VPMULUDQZrmk
11866    22794U,	// VPMULUDQZrmkz
11867    8U,	// VPMULUDQZrr
11868    8458U,	// VPMULUDQZrrk
11869    8458U,	// VPMULUDQZrrkz
11870    88U,	// VPMULUDQrm
11871    8U,	// VPMULUDQrr
11872    96U,	// VPORDZrm
11873    784U,	// VPORDZrmb
11874    16682U,	// VPORDZrmbk
11875    117002U,	// VPORDZrmbkz
11876    20778U,	// VPORDZrmk
11877    22794U,	// VPORDZrmkz
11878    8U,	// VPORDZrr
11879    298U,	// VPORDZrrk
11880    8458U,	// VPORDZrrkz
11881    96U,	// VPORQZrm
11882    536U,	// VPORQZrmb
11883    24874U,	// VPORQZrmbk
11884    92426U,	// VPORQZrmbkz
11885    20778U,	// VPORQZrmk
11886    22794U,	// VPORQZrmkz
11887    8U,	// VPORQZrr
11888    298U,	// VPORQZrrk
11889    8458U,	// VPORQZrrkz
11890    104U,	// VPORYrm
11891    8U,	// VPORYrr
11892    88U,	// VPORrm
11893    8U,	// VPORrr
11894    2392U,	// VPPERMmr
11895    28936U,	// VPPERMrm
11896    8456U,	// VPPERMrr
11897    0U,	// VPROTBmi
11898    0U,	// VPROTBmr
11899    8U,	// VPROTBri
11900    88U,	// VPROTBrm
11901    8U,	// VPROTBrr
11902    0U,	// VPROTDmi
11903    0U,	// VPROTDmr
11904    8U,	// VPROTDri
11905    88U,	// VPROTDrm
11906    8U,	// VPROTDrr
11907    0U,	// VPROTQmi
11908    0U,	// VPROTQmr
11909    8U,	// VPROTQri
11910    88U,	// VPROTQrm
11911    8U,	// VPROTQrr
11912    0U,	// VPROTWmi
11913    0U,	// VPROTWmr
11914    8U,	// VPROTWri
11915    88U,	// VPROTWrm
11916    8U,	// VPROTWrr
11917    104U,	// VPSADBWYrm
11918    8U,	// VPSADBWYrr
11919    88U,	// VPSADBWrm
11920    8U,	// VPSADBWrr
11921    0U,	// VPSCATTERDDZmr
11922    0U,	// VPSCATTERDQZmr
11923    0U,	// VPSCATTERQDZmr
11924    0U,	// VPSCATTERQQZmr
11925    0U,	// VPSHABmr
11926    88U,	// VPSHABrm
11927    8U,	// VPSHABrr
11928    0U,	// VPSHADmr
11929    88U,	// VPSHADrm
11930    8U,	// VPSHADrr
11931    0U,	// VPSHAQmr
11932    88U,	// VPSHAQrm
11933    8U,	// VPSHAQrr
11934    0U,	// VPSHAWmr
11935    88U,	// VPSHAWrm
11936    8U,	// VPSHAWrr
11937    0U,	// VPSHLBmr
11938    88U,	// VPSHLBrm
11939    8U,	// VPSHLBrr
11940    0U,	// VPSHLDmr
11941    88U,	// VPSHLDrm
11942    8U,	// VPSHLDrr
11943    0U,	// VPSHLQmr
11944    88U,	// VPSHLQrm
11945    8U,	// VPSHLQrr
11946    0U,	// VPSHLWmr
11947    88U,	// VPSHLWrm
11948    8U,	// VPSHLWrr
11949    104U,	// VPSHUFBYrm
11950    8U,	// VPSHUFBYrr
11951    88U,	// VPSHUFBrm
11952    8U,	// VPSHUFBrr
11953    0U,	// VPSHUFDYmi
11954    8U,	// VPSHUFDYri
11955    0U,	// VPSHUFDZmi
11956    8U,	// VPSHUFDZri
11957    0U,	// VPSHUFDmi
11958    8U,	// VPSHUFDri
11959    0U,	// VPSHUFHWYmi
11960    8U,	// VPSHUFHWYri
11961    0U,	// VPSHUFHWmi
11962    8U,	// VPSHUFHWri
11963    0U,	// VPSHUFLWYmi
11964    8U,	// VPSHUFLWYri
11965    0U,	// VPSHUFLWmi
11966    8U,	// VPSHUFLWri
11967    104U,	// VPSIGNBYrm
11968    8U,	// VPSIGNBYrr
11969    88U,	// VPSIGNBrm
11970    8U,	// VPSIGNBrr
11971    104U,	// VPSIGNDYrm
11972    8U,	// VPSIGNDYrr
11973    88U,	// VPSIGNDrm
11974    8U,	// VPSIGNDrr
11975    104U,	// VPSIGNWYrm
11976    8U,	// VPSIGNWYrr
11977    88U,	// VPSIGNWrm
11978    8U,	// VPSIGNWrr
11979    8U,	// VPSLLDQYri
11980    8U,	// VPSLLDQri
11981    8U,	// VPSLLDYri
11982    88U,	// VPSLLDYrm
11983    8U,	// VPSLLDYrr
11984    0U,	// VPSLLDZmi
11985    2402U,	// VPSLLDZmik
11986    8U,	// VPSLLDZri
11987    8458U,	// VPSLLDZrik
11988    88U,	// VPSLLDZrm
11989    28938U,	// VPSLLDZrmk
11990    8U,	// VPSLLDZrr
11991    8458U,	// VPSLLDZrrk
11992    8U,	// VPSLLDri
11993    88U,	// VPSLLDrm
11994    8U,	// VPSLLDrr
11995    8U,	// VPSLLQYri
11996    88U,	// VPSLLQYrm
11997    8U,	// VPSLLQYrr
11998    0U,	// VPSLLQZmi
11999    2402U,	// VPSLLQZmik
12000    8U,	// VPSLLQZri
12001    8458U,	// VPSLLQZrik
12002    88U,	// VPSLLQZrm
12003    28938U,	// VPSLLQZrmk
12004    8U,	// VPSLLQZrr
12005    8458U,	// VPSLLQZrrk
12006    8U,	// VPSLLQri
12007    88U,	// VPSLLQrm
12008    8U,	// VPSLLQrr
12009    104U,	// VPSLLVDYrm
12010    8U,	// VPSLLVDYrr
12011    96U,	// VPSLLVDZrm
12012    8U,	// VPSLLVDZrr
12013    88U,	// VPSLLVDrm
12014    8U,	// VPSLLVDrr
12015    104U,	// VPSLLVQYrm
12016    8U,	// VPSLLVQYrr
12017    96U,	// VPSLLVQZrm
12018    8U,	// VPSLLVQZrr
12019    88U,	// VPSLLVQrm
12020    8U,	// VPSLLVQrr
12021    8U,	// VPSLLWYri
12022    88U,	// VPSLLWYrm
12023    8U,	// VPSLLWYrr
12024    8U,	// VPSLLWri
12025    88U,	// VPSLLWrm
12026    8U,	// VPSLLWrr
12027    8U,	// VPSRADYri
12028    88U,	// VPSRADYrm
12029    8U,	// VPSRADYrr
12030    0U,	// VPSRADZmi
12031    2402U,	// VPSRADZmik
12032    8U,	// VPSRADZri
12033    8458U,	// VPSRADZrik
12034    88U,	// VPSRADZrm
12035    28938U,	// VPSRADZrmk
12036    8U,	// VPSRADZrr
12037    8458U,	// VPSRADZrrk
12038    8U,	// VPSRADri
12039    88U,	// VPSRADrm
12040    8U,	// VPSRADrr
12041    0U,	// VPSRAQZmi
12042    2402U,	// VPSRAQZmik
12043    8U,	// VPSRAQZri
12044    8458U,	// VPSRAQZrik
12045    88U,	// VPSRAQZrm
12046    28938U,	// VPSRAQZrmk
12047    8U,	// VPSRAQZrr
12048    8458U,	// VPSRAQZrrk
12049    104U,	// VPSRAVDYrm
12050    8U,	// VPSRAVDYrr
12051    96U,	// VPSRAVDZrm
12052    8U,	// VPSRAVDZrr
12053    88U,	// VPSRAVDrm
12054    8U,	// VPSRAVDrr
12055    96U,	// VPSRAVQZrm
12056    8U,	// VPSRAVQZrr
12057    8U,	// VPSRAWYri
12058    88U,	// VPSRAWYrm
12059    8U,	// VPSRAWYrr
12060    8U,	// VPSRAWri
12061    88U,	// VPSRAWrm
12062    8U,	// VPSRAWrr
12063    8U,	// VPSRLDQYri
12064    8U,	// VPSRLDQri
12065    8U,	// VPSRLDYri
12066    88U,	// VPSRLDYrm
12067    8U,	// VPSRLDYrr
12068    0U,	// VPSRLDZmi
12069    2402U,	// VPSRLDZmik
12070    8U,	// VPSRLDZri
12071    8458U,	// VPSRLDZrik
12072    88U,	// VPSRLDZrm
12073    28938U,	// VPSRLDZrmk
12074    8U,	// VPSRLDZrr
12075    8458U,	// VPSRLDZrrk
12076    8U,	// VPSRLDri
12077    88U,	// VPSRLDrm
12078    8U,	// VPSRLDrr
12079    8U,	// VPSRLQYri
12080    88U,	// VPSRLQYrm
12081    8U,	// VPSRLQYrr
12082    0U,	// VPSRLQZmi
12083    2402U,	// VPSRLQZmik
12084    8U,	// VPSRLQZri
12085    8458U,	// VPSRLQZrik
12086    88U,	// VPSRLQZrm
12087    28938U,	// VPSRLQZrmk
12088    8U,	// VPSRLQZrr
12089    8458U,	// VPSRLQZrrk
12090    8U,	// VPSRLQri
12091    88U,	// VPSRLQrm
12092    8U,	// VPSRLQrr
12093    104U,	// VPSRLVDYrm
12094    8U,	// VPSRLVDYrr
12095    96U,	// VPSRLVDZrm
12096    8U,	// VPSRLVDZrr
12097    88U,	// VPSRLVDrm
12098    8U,	// VPSRLVDrr
12099    104U,	// VPSRLVQYrm
12100    8U,	// VPSRLVQYrr
12101    96U,	// VPSRLVQZrm
12102    8U,	// VPSRLVQZrr
12103    88U,	// VPSRLVQrm
12104    8U,	// VPSRLVQrr
12105    8U,	// VPSRLWYri
12106    88U,	// VPSRLWYrm
12107    8U,	// VPSRLWYrr
12108    8U,	// VPSRLWri
12109    88U,	// VPSRLWrm
12110    8U,	// VPSRLWrr
12111    104U,	// VPSUBBYrm
12112    8U,	// VPSUBBYrr
12113    88U,	// VPSUBBrm
12114    8U,	// VPSUBBrr
12115    104U,	// VPSUBDYrm
12116    8U,	// VPSUBDYrr
12117    96U,	// VPSUBDZrm
12118    784U,	// VPSUBDZrmb
12119    16682U,	// VPSUBDZrmbk
12120    117002U,	// VPSUBDZrmbkz
12121    20778U,	// VPSUBDZrmk
12122    22794U,	// VPSUBDZrmkz
12123    8U,	// VPSUBDZrr
12124    298U,	// VPSUBDZrrk
12125    8458U,	// VPSUBDZrrkz
12126    88U,	// VPSUBDrm
12127    8U,	// VPSUBDrr
12128    104U,	// VPSUBQYrm
12129    8U,	// VPSUBQYrr
12130    96U,	// VPSUBQZrm
12131    536U,	// VPSUBQZrmb
12132    24874U,	// VPSUBQZrmbk
12133    92426U,	// VPSUBQZrmbkz
12134    20778U,	// VPSUBQZrmk
12135    22794U,	// VPSUBQZrmkz
12136    8U,	// VPSUBQZrr
12137    298U,	// VPSUBQZrrk
12138    8458U,	// VPSUBQZrrkz
12139    88U,	// VPSUBQrm
12140    8U,	// VPSUBQrr
12141    104U,	// VPSUBSBYrm
12142    8U,	// VPSUBSBYrr
12143    88U,	// VPSUBSBrm
12144    8U,	// VPSUBSBrr
12145    104U,	// VPSUBSWYrm
12146    8U,	// VPSUBSWYrr
12147    88U,	// VPSUBSWrm
12148    8U,	// VPSUBSWrr
12149    104U,	// VPSUBUSBYrm
12150    8U,	// VPSUBUSBYrr
12151    88U,	// VPSUBUSBrm
12152    8U,	// VPSUBUSBrr
12153    104U,	// VPSUBUSWYrm
12154    8U,	// VPSUBUSWYrr
12155    88U,	// VPSUBUSWrm
12156    8U,	// VPSUBUSWrr
12157    104U,	// VPSUBWYrm
12158    8U,	// VPSUBWYrr
12159    88U,	// VPSUBWrm
12160    8U,	// VPSUBWrr
12161    72U,	// VPTESTMDZrm
12162    8U,	// VPTESTMDZrr
12163    72U,	// VPTESTMQZrm
12164    8U,	// VPTESTMQZrr
12165    72U,	// VPTESTNMDZrm
12166    8U,	// VPTESTNMDZrr
12167    72U,	// VPTESTNMQZrm
12168    8U,	// VPTESTNMQZrr
12169    0U,	// VPTESTYrm
12170    0U,	// VPTESTYrr
12171    0U,	// VPTESTrm
12172    0U,	// VPTESTrr
12173    104U,	// VPUNPCKHBWYrm
12174    8U,	// VPUNPCKHBWYrr
12175    88U,	// VPUNPCKHBWrm
12176    8U,	// VPUNPCKHBWrr
12177    104U,	// VPUNPCKHDQYrm
12178    8U,	// VPUNPCKHDQYrr
12179    96U,	// VPUNPCKHDQZrm
12180    8U,	// VPUNPCKHDQZrr
12181    88U,	// VPUNPCKHDQrm
12182    8U,	// VPUNPCKHDQrr
12183    104U,	// VPUNPCKHQDQYrm
12184    8U,	// VPUNPCKHQDQYrr
12185    96U,	// VPUNPCKHQDQZrm
12186    8U,	// VPUNPCKHQDQZrr
12187    88U,	// VPUNPCKHQDQrm
12188    8U,	// VPUNPCKHQDQrr
12189    104U,	// VPUNPCKHWDYrm
12190    8U,	// VPUNPCKHWDYrr
12191    88U,	// VPUNPCKHWDrm
12192    8U,	// VPUNPCKHWDrr
12193    104U,	// VPUNPCKLBWYrm
12194    8U,	// VPUNPCKLBWYrr
12195    88U,	// VPUNPCKLBWrm
12196    8U,	// VPUNPCKLBWrr
12197    104U,	// VPUNPCKLDQYrm
12198    8U,	// VPUNPCKLDQYrr
12199    96U,	// VPUNPCKLDQZrm
12200    8U,	// VPUNPCKLDQZrr
12201    88U,	// VPUNPCKLDQrm
12202    8U,	// VPUNPCKLDQrr
12203    104U,	// VPUNPCKLQDQYrm
12204    8U,	// VPUNPCKLQDQYrr
12205    96U,	// VPUNPCKLQDQZrm
12206    8U,	// VPUNPCKLQDQZrr
12207    88U,	// VPUNPCKLQDQrm
12208    8U,	// VPUNPCKLQDQrr
12209    104U,	// VPUNPCKLWDYrm
12210    8U,	// VPUNPCKLWDYrr
12211    88U,	// VPUNPCKLWDrm
12212    8U,	// VPUNPCKLWDrr
12213    96U,	// VPXORDZrm
12214    784U,	// VPXORDZrmb
12215    16682U,	// VPXORDZrmbk
12216    117002U,	// VPXORDZrmbkz
12217    20778U,	// VPXORDZrmk
12218    22794U,	// VPXORDZrmkz
12219    8U,	// VPXORDZrr
12220    298U,	// VPXORDZrrk
12221    8458U,	// VPXORDZrrkz
12222    96U,	// VPXORQZrm
12223    536U,	// VPXORQZrmb
12224    24874U,	// VPXORQZrmbk
12225    92426U,	// VPXORQZrmbkz
12226    20778U,	// VPXORQZrmk
12227    22794U,	// VPXORQZrmkz
12228    8U,	// VPXORQZrr
12229    298U,	// VPXORQZrrk
12230    8458U,	// VPXORQZrrkz
12231    104U,	// VPXORYrm
12232    8U,	// VPXORYrr
12233    88U,	// VPXORrm
12234    8U,	// VPXORrr
12235    0U,	// VRCP14PDZm
12236    0U,	// VRCP14PDZr
12237    0U,	// VRCP14PSZm
12238    0U,	// VRCP14PSZr
12239    48U,	// VRCP14SDrm
12240    8U,	// VRCP14SDrr
12241    56U,	// VRCP14SSrm
12242    8U,	// VRCP14SSrr
12243    0U,	// VRCP28PDZm
12244    0U,	// VRCP28PDZr
12245    3U,	// VRCP28PDZrb
12246    0U,	// VRCP28PSZm
12247    0U,	// VRCP28PSZr
12248    3U,	// VRCP28PSZrb
12249    48U,	// VRCP28SDrm
12250    8U,	// VRCP28SDrr
12251    1544U,	// VRCP28SDrrb
12252    56U,	// VRCP28SSrm
12253    8U,	// VRCP28SSrr
12254    1544U,	// VRCP28SSrrb
12255    0U,	// VRCPPSYm
12256    0U,	// VRCPPSYm_Int
12257    0U,	// VRCPPSYr
12258    0U,	// VRCPPSYr_Int
12259    0U,	// VRCPPSm
12260    0U,	// VRCPPSm_Int
12261    0U,	// VRCPPSr
12262    0U,	// VRCPPSr_Int
12263    56U,	// VRCPSSm
12264    56U,	// VRCPSSm_Int
12265    8U,	// VRCPSSr
12266    0U,	// VRNDSCALEPDZm
12267    8U,	// VRNDSCALEPDZr
12268    0U,	// VRNDSCALEPSZm
12269    8U,	// VRNDSCALEPSZr
12270    48U,	// VRNDSCALESDm
12271    8U,	// VRNDSCALESDr
12272    56U,	// VRNDSCALESSm
12273    8U,	// VRNDSCALESSr
12274    0U,	// VROUNDPDm
12275    8U,	// VROUNDPDr
12276    0U,	// VROUNDPSm
12277    8U,	// VROUNDPSr
12278    2352U,	// VROUNDSDm
12279    8456U,	// VROUNDSDr
12280    8456U,	// VROUNDSDr_Int
12281    2360U,	// VROUNDSSm
12282    8456U,	// VROUNDSSr
12283    8456U,	// VROUNDSSr_Int
12284    0U,	// VROUNDYPDm
12285    8U,	// VROUNDYPDr
12286    0U,	// VROUNDYPSm
12287    8U,	// VROUNDYPSr
12288    0U,	// VRSQRT14PDZm
12289    0U,	// VRSQRT14PDZr
12290    0U,	// VRSQRT14PSZm
12291    0U,	// VRSQRT14PSZr
12292    48U,	// VRSQRT14SDrm
12293    8U,	// VRSQRT14SDrr
12294    56U,	// VRSQRT14SSrm
12295    8U,	// VRSQRT14SSrr
12296    0U,	// VRSQRT28PDZm
12297    0U,	// VRSQRT28PDZr
12298    3U,	// VRSQRT28PDZrb
12299    0U,	// VRSQRT28PSZm
12300    0U,	// VRSQRT28PSZr
12301    3U,	// VRSQRT28PSZrb
12302    48U,	// VRSQRT28SDrm
12303    8U,	// VRSQRT28SDrr
12304    1544U,	// VRSQRT28SDrrb
12305    56U,	// VRSQRT28SSrm
12306    8U,	// VRSQRT28SSrr
12307    1544U,	// VRSQRT28SSrrb
12308    0U,	// VRSQRTPSYm
12309    0U,	// VRSQRTPSYm_Int
12310    0U,	// VRSQRTPSYr
12311    0U,	// VRSQRTPSYr_Int
12312    0U,	// VRSQRTPSm
12313    0U,	// VRSQRTPSm_Int
12314    0U,	// VRSQRTPSr
12315    0U,	// VRSQRTPSr_Int
12316    56U,	// VRSQRTSSm
12317    56U,	// VRSQRTSSm_Int
12318    8U,	// VRSQRTSSr
12319    0U,	// VSCATTERDPDZmr
12320    0U,	// VSCATTERDPSZmr
12321    0U,	// VSCATTERPF0DPDm
12322    0U,	// VSCATTERPF0DPSm
12323    0U,	// VSCATTERPF0QPDm
12324    0U,	// VSCATTERPF0QPSm
12325    0U,	// VSCATTERPF1DPDm
12326    0U,	// VSCATTERPF1DPSm
12327    0U,	// VSCATTERPF1QPDm
12328    0U,	// VSCATTERPF1QPSm
12329    0U,	// VSCATTERQPDZmr
12330    0U,	// VSCATTERQPSZmr
12331    2368U,	// VSHUFPDYrmi
12332    8456U,	// VSHUFPDYrri
12333    2376U,	// VSHUFPDZrmi
12334    8456U,	// VSHUFPDZrri
12335    2384U,	// VSHUFPDrmi
12336    8456U,	// VSHUFPDrri
12337    2368U,	// VSHUFPSYrmi
12338    8456U,	// VSHUFPSYrri
12339    2376U,	// VSHUFPSZrmi
12340    8456U,	// VSHUFPSZrri
12341    2384U,	// VSHUFPSrmi
12342    8456U,	// VSHUFPSrri
12343    0U,	// VSQRTPDYm
12344    0U,	// VSQRTPDYr
12345    0U,	// VSQRTPDZrm
12346    0U,	// VSQRTPDZrr
12347    0U,	// VSQRTPDm
12348    0U,	// VSQRTPDr
12349    0U,	// VSQRTPSYm
12350    0U,	// VSQRTPSYr
12351    0U,	// VSQRTPSZrm
12352    0U,	// VSQRTPSZrr
12353    0U,	// VSQRTPSm
12354    0U,	// VSQRTPSr
12355    48U,	// VSQRTSDZm
12356    48U,	// VSQRTSDZm_Int
12357    8U,	// VSQRTSDZr
12358    8U,	// VSQRTSDZr_Int
12359    48U,	// VSQRTSDm
12360    48U,	// VSQRTSDm_Int
12361    8U,	// VSQRTSDr
12362    56U,	// VSQRTSSZm
12363    56U,	// VSQRTSSZm_Int
12364    8U,	// VSQRTSSZr
12365    8U,	// VSQRTSSZr_Int
12366    56U,	// VSQRTSSm
12367    56U,	// VSQRTSSm_Int
12368    8U,	// VSQRTSSr
12369    0U,	// VSTMXCSR
12370    64U,	// VSUBPDYrm
12371    8U,	// VSUBPDYrr
12372    72U,	// VSUBPDZrm
12373    560U,	// VSUBPDZrmb
12374    69898U,	// VSUBPDZrmbk
12375    69898U,	// VSUBPDZrmbkz
12376    6410U,	// VSUBPDZrmk
12377    6410U,	// VSUBPDZrmkz
12378    8U,	// VSUBPDZrr
12379    8458U,	// VSUBPDZrrk
12380    8458U,	// VSUBPDZrrkz
12381    80U,	// VSUBPDrm
12382    8U,	// VSUBPDrr
12383    64U,	// VSUBPSYrm
12384    8U,	// VSUBPSYrr
12385    72U,	// VSUBPSZrm
12386    824U,	// VSUBPSZrmb
12387    108810U,	// VSUBPSZrmbk
12388    108810U,	// VSUBPSZrmbkz
12389    6410U,	// VSUBPSZrmk
12390    6410U,	// VSUBPSZrmkz
12391    8U,	// VSUBPSZrr
12392    8458U,	// VSUBPSZrrk
12393    8458U,	// VSUBPSZrrkz
12394    80U,	// VSUBPSrm
12395    8U,	// VSUBPSrr
12396    48U,	// VSUBSDZrm
12397    8U,	// VSUBSDZrr
12398    48U,	// VSUBSDrm
12399    48U,	// VSUBSDrm_Int
12400    8U,	// VSUBSDrr
12401    8U,	// VSUBSDrr_Int
12402    56U,	// VSUBSSZrm
12403    8U,	// VSUBSSZrr
12404    56U,	// VSUBSSrm
12405    56U,	// VSUBSSrm_Int
12406    8U,	// VSUBSSrr
12407    8U,	// VSUBSSrr_Int
12408    0U,	// VTESTPDYrm
12409    0U,	// VTESTPDYrr
12410    0U,	// VTESTPDrm
12411    0U,	// VTESTPDrr
12412    0U,	// VTESTPSYrm
12413    0U,	// VTESTPSYrr
12414    0U,	// VTESTPSrm
12415    0U,	// VTESTPSrr
12416    0U,	// VUCOMISDZrm
12417    0U,	// VUCOMISDZrr
12418    0U,	// VUCOMISDrm
12419    0U,	// VUCOMISDrr
12420    0U,	// VUCOMISSZrm
12421    0U,	// VUCOMISSZrr
12422    0U,	// VUCOMISSrm
12423    0U,	// VUCOMISSrr
12424    64U,	// VUNPCKHPDYrm
12425    8U,	// VUNPCKHPDYrr
12426    72U,	// VUNPCKHPDZrm
12427    8U,	// VUNPCKHPDZrr
12428    80U,	// VUNPCKHPDrm
12429    8U,	// VUNPCKHPDrr
12430    64U,	// VUNPCKHPSYrm
12431    8U,	// VUNPCKHPSYrr
12432    72U,	// VUNPCKHPSZrm
12433    8U,	// VUNPCKHPSZrr
12434    80U,	// VUNPCKHPSrm
12435    8U,	// VUNPCKHPSrr
12436    64U,	// VUNPCKLPDYrm
12437    8U,	// VUNPCKLPDYrr
12438    72U,	// VUNPCKLPDZrm
12439    8U,	// VUNPCKLPDZrr
12440    80U,	// VUNPCKLPDrm
12441    8U,	// VUNPCKLPDrr
12442    64U,	// VUNPCKLPSYrm
12443    8U,	// VUNPCKLPSYrr
12444    72U,	// VUNPCKLPSZrm
12445    8U,	// VUNPCKLPSZrr
12446    80U,	// VUNPCKLPSrm
12447    8U,	// VUNPCKLPSrr
12448    64U,	// VXORPDYrm
12449    8U,	// VXORPDYrr
12450    80U,	// VXORPDrm
12451    8U,	// VXORPDrr
12452    64U,	// VXORPSYrm
12453    8U,	// VXORPSYrr
12454    80U,	// VXORPSrm
12455    8U,	// VXORPSrr
12456    0U,	// VZEROALL
12457    0U,	// VZEROUPPER
12458    0U,	// V_SET0
12459    0U,	// V_SETALLONES
12460    0U,	// W64ALLOCA
12461    0U,	// WAIT
12462    0U,	// WBINVD
12463    0U,	// WIN_ALLOCA
12464    0U,	// WIN_FTOL_32
12465    0U,	// WIN_FTOL_64
12466    0U,	// WRFSBASE
12467    0U,	// WRFSBASE64
12468    0U,	// WRGSBASE
12469    0U,	// WRGSBASE64
12470    0U,	// WRMSR
12471    0U,	// XABORT
12472    0U,	// XACQUIRE_PREFIX
12473    0U,	// XADD16rm
12474    0U,	// XADD16rr
12475    0U,	// XADD32rm
12476    0U,	// XADD32rr
12477    0U,	// XADD64rm
12478    0U,	// XADD64rr
12479    0U,	// XADD8rm
12480    0U,	// XADD8rr
12481    0U,	// XBEGIN
12482    0U,	// XBEGIN_4
12483    0U,	// XCHG16ar
12484    0U,	// XCHG16rm
12485    0U,	// XCHG16rr
12486    0U,	// XCHG32ar
12487    0U,	// XCHG32ar64
12488    0U,	// XCHG32rm
12489    0U,	// XCHG32rr
12490    0U,	// XCHG64ar
12491    0U,	// XCHG64rm
12492    0U,	// XCHG64rr
12493    0U,	// XCHG8rm
12494    0U,	// XCHG8rr
12495    0U,	// XCH_F
12496    0U,	// XCRYPTCBC
12497    0U,	// XCRYPTCFB
12498    0U,	// XCRYPTCTR
12499    0U,	// XCRYPTECB
12500    0U,	// XCRYPTOFB
12501    0U,	// XEND
12502    0U,	// XGETBV
12503    0U,	// XLAT
12504    0U,	// XOR16i16
12505    0U,	// XOR16mi
12506    0U,	// XOR16mi8
12507    0U,	// XOR16mr
12508    0U,	// XOR16ri
12509    0U,	// XOR16ri8
12510    0U,	// XOR16rm
12511    0U,	// XOR16rr
12512    0U,	// XOR16rr_REV
12513    0U,	// XOR32i32
12514    0U,	// XOR32mi
12515    0U,	// XOR32mi8
12516    0U,	// XOR32mr
12517    0U,	// XOR32ri
12518    0U,	// XOR32ri8
12519    0U,	// XOR32rm
12520    0U,	// XOR32rr
12521    0U,	// XOR32rr_REV
12522    0U,	// XOR64i32
12523    0U,	// XOR64mi32
12524    0U,	// XOR64mi8
12525    0U,	// XOR64mr
12526    0U,	// XOR64ri32
12527    0U,	// XOR64ri8
12528    0U,	// XOR64rm
12529    0U,	// XOR64rr
12530    0U,	// XOR64rr_REV
12531    0U,	// XOR8i8
12532    0U,	// XOR8mi
12533    0U,	// XOR8mr
12534    0U,	// XOR8ri
12535    0U,	// XOR8ri8
12536    0U,	// XOR8rm
12537    0U,	// XOR8rr
12538    0U,	// XOR8rr_REV
12539    0U,	// XORPDrm
12540    0U,	// XORPDrr
12541    0U,	// XORPSrm
12542    0U,	// XORPSrr
12543    0U,	// XRELEASE_PREFIX
12544    0U,	// XRSTOR
12545    0U,	// XRSTOR64
12546    0U,	// XSAVE
12547    0U,	// XSAVE64
12548    0U,	// XSAVEOPT
12549    0U,	// XSAVEOPT64
12550    0U,	// XSETBV
12551    0U,	// XSHA1
12552    0U,	// XSHA256
12553    0U,	// XSTORE
12554    0U,	// XTEST
12555    0U
12556  };
12557
12558#ifndef CAPSTONE_DIET
12559  static char AsmStrs[] = {
12560  /* 0 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '3', '2', 'x', '4', 32, 9, 0,
12561  /* 18 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '6', '4', 'x', '4', 32, 9, 0,
12562  /* 36 */ 'k', 'a', 'n', 'd', 'b', 32, 9, 0,
12563  /* 44 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
12564  /* 56 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
12565  /* 67 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
12566  /* 77 */ 'k', 'a', 'n', 'd', 'n', 'b', 32, 9, 0,
12567  /* 86 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
12568  /* 98 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
12569  /* 109 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
12570  /* 119 */ 'k', 'o', 'r', 'b', 32, 9, 0,
12571  /* 126 */ 'k', 'x', 'n', 'o', 'r', 'b', 32, 9, 0,
12572  /* 135 */ 'k', 'x', 'o', 'r', 'b', 32, 9, 0,
12573  /* 143 */ 'k', 'n', 'o', 't', 'b', 32, 9, 0,
12574  /* 151 */ 'k', 'm', 'o', 'v', 'b', 32, 9, 0,
12575  /* 159 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
12576  /* 170 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
12577  /* 181 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
12578  /* 199 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
12579  /* 208 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
12580  /* 217 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
12581  /* 229 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
12582  /* 241 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
12583  /* 250 */ 'k', 'a', 'n', 'd', 'd', 32, 9, 0,
12584  /* 258 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
12585  /* 267 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
12586  /* 280 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
12587  /* 294 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
12588  /* 304 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
12589  /* 313 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
12590  /* 323 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
12591  /* 332 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
12592  /* 344 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
12593  /* 356 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
12594  /* 365 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
12595  /* 376 */ 'k', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
12596  /* 385 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
12597  /* 395 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
12598  /* 405 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
12599  /* 422 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
12600  /* 436 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
12601  /* 451 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
12602  /* 468 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
12603  /* 482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
12604  /* 497 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
12605  /* 509 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
12606  /* 521 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
12607  /* 534 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
12608  /* 546 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
12609  /* 558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
12610  /* 575 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
12611  /* 589 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
12612  /* 604 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
12613  /* 621 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
12614  /* 635 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
12615  /* 650 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
12616  /* 661 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
12617  /* 674 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
12618  /* 685 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
12619  /* 698 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
12620  /* 707 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
12621  /* 716 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
12622  /* 729 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
12623  /* 743 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
12624  /* 757 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
12625  /* 767 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
12626  /* 779 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
12627  /* 788 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
12628  /* 800 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
12629  /* 810 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
12630  /* 819 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
12631  /* 828 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
12632  /* 841 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
12633  /* 855 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
12634  /* 864 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
12635  /* 873 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
12636  /* 886 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
12637  /* 900 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
12638  /* 912 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
12639  /* 923 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
12640  /* 933 */ 'k', 'o', 'r', 'd', 32, 9, 0,
12641  /* 940 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
12642  /* 949 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
12643  /* 957 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
12644  /* 965 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
12645  /* 974 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
12646  /* 986 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
12647  /* 999 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
12648  /* 1013 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
12649  /* 1028 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
12650  /* 1042 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
12651  /* 1057 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
12652  /* 1068 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
12653  /* 1081 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
12654  /* 1092 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
12655  /* 1105 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
12656  /* 1114 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
12657  /* 1128 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
12658  /* 1138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
12659  /* 1153 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
12660  /* 1162 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
12661  /* 1172 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
12662  /* 1186 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
12663  /* 1197 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
12664  /* 1205 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
12665  /* 1220 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
12666  /* 1230 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
12667  /* 1240 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
12668  /* 1250 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
12669  /* 1260 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
12670  /* 1270 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
12671  /* 1278 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
12672  /* 1290 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
12673  /* 1302 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
12674  /* 1314 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
12675  /* 1327 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
12676  /* 1339 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
12677  /* 1352 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
12678  /* 1364 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
12679  /* 1378 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
12680  /* 1391 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
12681  /* 1405 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
12682  /* 1418 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
12683  /* 1429 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
12684  /* 1441 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
12685  /* 1453 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
12686  /* 1471 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
12687  /* 1482 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
12688  /* 1493 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
12689  /* 1502 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
12690  /* 1511 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
12691  /* 1523 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
12692  /* 1535 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
12693  /* 1548 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
12694  /* 1560 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
12695  /* 1573 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
12696  /* 1585 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
12697  /* 1594 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
12698  /* 1607 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
12699  /* 1620 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
12700  /* 1630 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
12701  /* 1638 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
12702  /* 1647 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
12703  /* 1661 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
12704  /* 1675 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
12705  /* 1688 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
12706  /* 1702 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
12707  /* 1716 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
12708  /* 1729 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
12709  /* 1743 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
12710  /* 1756 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
12711  /* 1767 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
12712  /* 1779 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
12713  /* 1791 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
12714  /* 1800 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
12715  /* 1809 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
12716  /* 1821 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
12717  /* 1833 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
12718  /* 1842 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
12719  /* 1853 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
12720  /* 1862 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
12721  /* 1872 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
12722  /* 1882 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
12723  /* 1895 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
12724  /* 1909 */ 'k', 'o', 'r', 'q', 32, 9, 0,
12725  /* 1916 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
12726  /* 1925 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
12727  /* 1933 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
12728  /* 1941 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
12729  /* 1950 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
12730  /* 1959 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
12731  /* 1969 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
12732  /* 1979 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
12733  /* 1993 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
12734  /* 2004 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
12735  /* 2012 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
12736  /* 2027 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
12737  /* 2037 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
12738  /* 2047 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
12739  /* 2057 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
12740  /* 2067 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
12741  /* 2077 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
12742  /* 2085 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
12743  /* 2097 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
12744  /* 2109 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
12745  /* 2126 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
12746  /* 2140 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
12747  /* 2155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
12748  /* 2172 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
12749  /* 2186 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
12750  /* 2201 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
12751  /* 2213 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
12752  /* 2225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
12753  /* 2237 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
12754  /* 2250 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
12755  /* 2262 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
12756  /* 2279 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
12757  /* 2293 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
12758  /* 2308 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
12759  /* 2325 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
12760  /* 2339 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
12761  /* 2354 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
12762  /* 2365 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
12763  /* 2378 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
12764  /* 2389 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
12765  /* 2402 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
12766  /* 2411 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
12767  /* 2420 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
12768  /* 2433 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
12769  /* 2447 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
12770  /* 2461 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
12771  /* 2471 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
12772  /* 2483 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
12773  /* 2492 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
12774  /* 2504 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
12775  /* 2514 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
12776  /* 2523 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
12777  /* 2532 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
12778  /* 2545 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
12779  /* 2559 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
12780  /* 2568 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
12781  /* 2577 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
12782  /* 2589 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
12783  /* 2602 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
12784  /* 2616 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
12785  /* 2631 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
12786  /* 2645 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
12787  /* 2660 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
12788  /* 2671 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
12789  /* 2684 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
12790  /* 2695 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
12791  /* 2708 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
12792  /* 2722 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
12793  /* 2737 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
12794  /* 2746 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
12795  /* 2757 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
12796  /* 2765 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
12797  /* 2777 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
12798  /* 2788 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
12799  /* 2798 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
12800  /* 2809 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
12801  /* 2818 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
12802  /* 2830 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
12803  /* 2841 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
12804  /* 2851 */ 'k', 'o', 'r', 'w', 32, 9, 0,
12805  /* 2858 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
12806  /* 2867 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
12807  /* 2875 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
12808  /* 2886 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
12809  /* 2894 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
12810  /* 2905 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
12811  /* 2913 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
12812  /* 2925 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
12813  /* 2935 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
12814  /* 2947 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
12815  /* 2959 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
12816  /* 2969 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
12817  /* 2979 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
12818  /* 2990 */ 'c', 'r', 'c', '3', '2', 9, 0,
12819  /* 2997 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
12820  /* 3008 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
12821  /* 3018 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
12822  /* 3030 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
12823  /* 3043 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
12824  /* 3055 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
12825  /* 3065 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
12826  /* 3076 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
12827  /* 3086 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
12828  /* 3097 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
12829  /* 3109 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
12830  /* 3120 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
12831  /* 3131 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
12832  /* 3146 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
12833  /* 3160 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
12834  /* 3175 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
12835  /* 3189 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
12836  /* 3204 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
12837  /* 3218 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
12838  /* 3233 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
12839  /* 3247 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
12840  /* 3258 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
12841  /* 3270 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
12842  /* 3284 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
12843  /* 3297 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
12844  /* 3313 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
12845  /* 3325 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
12846  /* 3339 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
12847  /* 3352 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
12848  /* 3368 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
12849  /* 3378 */ 'l', 'e', 'a', 9, 0,
12850  /* 3383 */ 'j', 'a', 9, 0,
12851  /* 3387 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
12852  /* 3398 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
12853  /* 3407 */ 's', 'e', 't', 'a', 9, 0,
12854  /* 3413 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
12855  /* 3426 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
12856  /* 3433 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
12857  /* 3445 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
12858  /* 3456 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
12859  /* 3464 */ 's', 'b', 'b', 9, 0,
12860  /* 3469 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
12861  /* 3477 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
12862  /* 3485 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
12863  /* 3494 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
12864  /* 3502 */ 'j', 'b', 9, 0,
12865  /* 3506 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
12866  /* 3517 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
12867  /* 3525 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
12868  /* 3533 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
12869  /* 3542 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
12870  /* 3552 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
12871  /* 3561 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
12872  /* 3570 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
12873  /* 3578 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
12874  /* 3587 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
12875  /* 3596 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
12876  /* 3605 */ 's', 't', 'o', 's', 'b', 9, 0,
12877  /* 3612 */ 'c', 'm', 'p', 's', 'b', 9, 0,
12878  /* 3619 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
12879  /* 3629 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
12880  /* 3639 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
12881  /* 3648 */ 'm', 'o', 'v', 's', 'b', 9, 0,
12882  /* 3655 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
12883  /* 3664 */ 's', 'e', 't', 'b', 9, 0,
12884  /* 3670 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
12885  /* 3680 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
12886  /* 3688 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
12887  /* 3702 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
12888  /* 3711 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
12889  /* 3720 */ 'p', 'f', 's', 'u', 'b', 9, 0,
12890  /* 3727 */ 'f', 'i', 's', 'u', 'b', 9, 0,
12891  /* 3734 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
12892  /* 3743 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
12893  /* 3754 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
12894  /* 3761 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
12895  /* 3772 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
12896  /* 3783 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
12897  /* 3790 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
12898  /* 3798 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
12899  /* 3807 */ 'a', 'd', 'c', 9, 0,
12900  /* 3812 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
12901  /* 3821 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
12902  /* 3828 */ 'b', 'l', 's', 'i', 'c', 9, 0,
12903  /* 3835 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
12904  /* 3843 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
12905  /* 3852 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
12906  /* 3861 */ 'i', 'n', 'c', 9, 0,
12907  /* 3866 */ 'b', 't', 'c', 9, 0,
12908  /* 3871 */ 'a', 'a', 'd', 9, 0,
12909  /* 3876 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
12910  /* 3884 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
12911  /* 3892 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
12912  /* 3900 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
12913  /* 3910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
12914  /* 3921 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
12915  /* 3930 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
12916  /* 3938 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
12917  /* 3949 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
12918  /* 3960 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
12919  /* 3967 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
12920  /* 3974 */ 'x', 'a', 'd', 'd', 9, 0,
12921  /* 3980 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
12922  /* 3989 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
12923  /* 3997 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
12924  /* 4007 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
12925  /* 4019 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
12926  /* 4029 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
12927  /* 4040 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
12928  /* 4048 */ 'p', 'i', '2', 'f', 'd', 9, 0,
12929  /* 4055 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
12930  /* 4064 */ 'p', 'f', '2', 'i', 'd', 9, 0,
12931  /* 4071 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
12932  /* 4080 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
12933  /* 4089 */ 'f', 'b', 'l', 'd', 9, 0,
12934  /* 4095 */ 'f', 'l', 'd', 9, 0,
12935  /* 4100 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
12936  /* 4108 */ 'f', 'i', 'l', 'd', 9, 0,
12937  /* 4114 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
12938  /* 4122 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
12939  /* 4131 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
12940  /* 4139 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
12941  /* 4148 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
12942  /* 4156 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
12943  /* 4164 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
12944  /* 4171 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
12945  /* 4179 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
12946  /* 4188 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
12947  /* 4195 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
12948  /* 4211 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
12949  /* 4224 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
12950  /* 4238 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
12951  /* 4254 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
12952  /* 4267 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
12953  /* 4281 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
12954  /* 4297 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
12955  /* 4310 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
12956  /* 4324 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
12957  /* 4340 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
12958  /* 4353 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
12959  /* 4367 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
12960  /* 4377 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
12961  /* 4389 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
12962  /* 4400 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
12963  /* 4411 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
12964  /* 4427 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
12965  /* 4440 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
12966  /* 4454 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
12967  /* 4470 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
12968  /* 4483 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
12969  /* 4497 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
12970  /* 4506 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
12971  /* 4514 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
12972  /* 4527 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
12973  /* 4538 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
12974  /* 4547 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
12975  /* 4557 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
12976  /* 4568 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
12977  /* 4576 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
12978  /* 4589 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
12979  /* 4598 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
12980  /* 4608 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
12981  /* 4619 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
12982  /* 4627 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
12983  /* 4635 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
12984  /* 4645 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
12985  /* 4655 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
12986  /* 4667 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
12987  /* 4676 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
12988  /* 4687 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
12989  /* 4696 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
12990  /* 4707 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
12991  /* 4718 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
12992  /* 4729 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
12993  /* 4737 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
12994  /* 4746 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
12995  /* 4754 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
12996  /* 4763 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
12997  /* 4772 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
12998  /* 4780 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
12999  /* 4787 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
13000  /* 4795 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
13001  /* 4807 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
13002  /* 4814 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
13003  /* 4822 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
13004  /* 4832 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
13005  /* 4841 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
13006  /* 4850 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
13007  /* 4859 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
13008  /* 4870 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
13009  /* 4878 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
13010  /* 4890 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
13011  /* 4898 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
13012  /* 4907 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
13013  /* 4917 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
13014  /* 4929 */ 's', 'h', 'r', 'd', 9, 0,
13015  /* 4935 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
13016  /* 4944 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
13017  /* 4953 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
13018  /* 4966 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
13019  /* 4980 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
13020  /* 4993 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
13021  /* 5007 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
13022  /* 5020 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
13023  /* 5034 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
13024  /* 5047 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
13025  /* 5061 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
13026  /* 5072 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
13027  /* 5084 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
13028  /* 5095 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
13029  /* 5108 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
13030  /* 5122 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
13031  /* 5135 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
13032  /* 5149 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
13033  /* 5157 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
13034  /* 5167 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
13035  /* 5178 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
13036  /* 5186 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
13037  /* 5196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
13038  /* 5207 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
13039  /* 5215 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
13040  /* 5225 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
13041  /* 5235 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
13042  /* 5244 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
13043  /* 5252 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
13044  /* 5261 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
13045  /* 5269 */ 's', 't', 'o', 's', 'd', 9, 0,
13046  /* 5276 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
13047  /* 5284 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
13048  /* 5293 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
13049  /* 5302 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
13050  /* 5316 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
13051  /* 5324 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
13052  /* 5332 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
13053  /* 5341 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
13054  /* 5349 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
13055  /* 5358 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
13056  /* 5368 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
13057  /* 5376 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
13058  /* 5390 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
13059  /* 5399 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
13060  /* 5408 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
13061  /* 5417 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
13062  /* 5426 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
13063  /* 5435 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
13064  /* 5444 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
13065  /* 5453 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
13066  /* 5465 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
13067  /* 5472 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
13068  /* 5482 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
13069  /* 5492 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
13070  /* 5502 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
13071  /* 5514 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
13072  /* 5526 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
13073  /* 5536 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
13074  /* 5547 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
13075  /* 5558 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
13076  /* 5570 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
13077  /* 5581 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
13078  /* 5592 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
13079  /* 5603 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
13080  /* 5611 */ 'j', 'a', 'e', 9, 0,
13081  /* 5616 */ 's', 'e', 't', 'a', 'e', 9, 0,
13082  /* 5623 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
13083  /* 5631 */ 'j', 'b', 'e', 9, 0,
13084  /* 5636 */ 's', 'e', 't', 'b', 'e', 9, 0,
13085  /* 5643 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
13086  /* 5651 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 0,
13087  /* 5660 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
13088  /* 5667 */ 'j', 'g', 'e', 9, 0,
13089  /* 5672 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
13090  /* 5681 */ 's', 'e', 't', 'g', 'e', 9, 0,
13091  /* 5688 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
13092  /* 5696 */ 'j', 'e', 9, 0,
13093  /* 5700 */ 'j', 'l', 'e', 9, 0,
13094  /* 5705 */ 's', 'e', 't', 'l', 'e', 9, 0,
13095  /* 5712 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
13096  /* 5720 */ 'j', 'n', 'e', 9, 0,
13097  /* 5725 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
13098  /* 5733 */ 's', 'e', 't', 'n', 'e', 9, 0,
13099  /* 5740 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
13100  /* 5748 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
13101  /* 5755 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
13102  /* 5765 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
13103  /* 5775 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
13104  /* 5785 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
13105  /* 5795 */ 's', 'e', 't', 'e', 9, 0,
13106  /* 5801 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
13107  /* 5810 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
13108  /* 5821 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
13109  /* 5829 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
13110  /* 5837 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
13111  /* 5844 */ 'b', 's', 'f', 9, 0,
13112  /* 5849 */ 'r', 'e', 't', 'f', 9, 0,
13113  /* 5855 */ 'n', 'e', 'g', 9, 0,
13114  /* 5860 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
13115  /* 5869 */ 'j', 'g', 9, 0,
13116  /* 5873 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
13117  /* 5881 */ 's', 'e', 't', 'g', 9, 0,
13118  /* 5887 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
13119  /* 5894 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
13120  /* 5904 */ 'f', 'x', 'c', 'h', 9, 0,
13121  /* 5910 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
13122  /* 5921 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
13123  /* 5932 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
13124  /* 5944 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
13125  /* 5953 */ 'p', 'u', 's', 'h', 9, 0,
13126  /* 5959 */ 'b', 'l', 'c', 'i', 9, 0,
13127  /* 5965 */ 'b', 'z', 'h', 'i', 9, 0,
13128  /* 5971 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
13129  /* 5978 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
13130  /* 5986 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
13131  /* 5997 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
13132  /* 6007 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
13133  /* 6018 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
13134  /* 6028 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
13135  /* 6036 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
13136  /* 6045 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
13137  /* 6057 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
13138  /* 6069 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
13139  /* 6081 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
13140  /* 6092 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
13141  /* 6104 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
13142  /* 6115 */ 'b', 'l', 's', 'i', 9, 0,
13143  /* 6121 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
13144  /* 6129 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
13145  /* 6137 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
13146  /* 6145 */ 't', 'z', 'm', 's', 'k', 9, 0,
13147  /* 6152 */ 's', 'a', 'l', 9, 0,
13148  /* 6157 */ 'r', 'c', 'l', 9, 0,
13149  /* 6162 */ 's', 'h', 'l', 9, 0,
13150  /* 6167 */ 'j', 'l', 9, 0,
13151  /* 6171 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
13152  /* 6178 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
13153  /* 6187 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
13154  /* 6196 */ 'r', 'o', 'l', 9, 0,
13155  /* 6201 */ 'a', 'r', 'p', 'l', 9, 0,
13156  /* 6207 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
13157  /* 6218 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
13158  /* 6230 */ 'l', 's', 'l', 9, 0,
13159  /* 6235 */ 's', 'e', 't', 'l', 9, 0,
13160  /* 6241 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
13161  /* 6248 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
13162  /* 6255 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
13163  /* 6262 */ 'a', 'a', 'm', 9, 0,
13164  /* 6267 */ 'f', 'c', 'o', 'm', 9, 0,
13165  /* 6273 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
13166  /* 6280 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
13167  /* 6287 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
13168  /* 6295 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
13169  /* 6307 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
13170  /* 6319 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
13171  /* 6327 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
13172  /* 6335 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
13173  /* 6342 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
13174  /* 6349 */ 'j', 'o', 9, 0,
13175  /* 6353 */ 'j', 'n', 'o', 9, 0,
13176  /* 6358 */ 's', 'e', 't', 'n', 'o', 9, 0,
13177  /* 6365 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
13178  /* 6373 */ 's', 'e', 't', 'o', 9, 0,
13179  /* 6379 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
13180  /* 6386 */ 'b', 's', 'w', 'a', 'p', 9, 0,
13181  /* 6393 */ 'f', 's', 'u', 'b', 'p', 9, 0,
13182  /* 6400 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
13183  /* 6407 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
13184  /* 6414 */ 'p', 'd', 'e', 'p', 9, 0,
13185  /* 6420 */ 'j', 'p', 9, 0,
13186  /* 6424 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
13187  /* 6431 */ 'c', 'm', 'p', 9, 0,
13188  /* 6436 */ 'l', 'j', 'm', 'p', 9, 0,
13189  /* 6442 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
13190  /* 6449 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
13191  /* 6457 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
13192  /* 6465 */ 'j', 'n', 'p', 9, 0,
13193  /* 6470 */ 's', 'e', 't', 'n', 'p', 9, 0,
13194  /* 6477 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
13195  /* 6485 */ 'n', 'o', 'p', 9, 0,
13196  /* 6490 */ 'l', 'o', 'o', 'p', 9, 0,
13197  /* 6496 */ 'p', 'o', 'p', 9, 0,
13198  /* 6501 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
13199  /* 6509 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
13200  /* 6517 */ 's', 'e', 't', 'p', 9, 0,
13201  /* 6523 */ 'f', 'b', 's', 't', 'p', 9, 0,
13202  /* 6530 */ 'f', 's', 't', 'p', 9, 0,
13203  /* 6536 */ 'f', 'i', 's', 't', 'p', 9, 0,
13204  /* 6543 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
13205  /* 6551 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
13206  /* 6561 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
13207  /* 6572 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
13208  /* 6583 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
13209  /* 6599 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
13210  /* 6606 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
13211  /* 6613 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
13212  /* 6622 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
13213  /* 6630 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
13214  /* 6640 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
13215  /* 6651 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
13216  /* 6659 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
13217  /* 6670 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
13218  /* 6681 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
13219  /* 6693 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
13220  /* 6704 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
13221  /* 6713 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
13222  /* 6725 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
13223  /* 6736 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
13224  /* 6746 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
13225  /* 6754 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
13226  /* 6764 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
13227  /* 6776 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
13228  /* 6788 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
13229  /* 6797 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
13230  /* 6806 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
13231  /* 6815 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
13232  /* 6828 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
13233  /* 6841 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
13234  /* 6853 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
13235  /* 6865 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
13236  /* 6875 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
13237  /* 6886 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
13238  /* 6896 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
13239  /* 6907 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
13240  /* 6918 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
13241  /* 6927 */ 'r', 'e', 't', 'f', 'q', 9, 0,
13242  /* 6934 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
13243  /* 6942 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
13244  /* 6950 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
13245  /* 6958 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
13246  /* 6966 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
13247  /* 6974 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
13248  /* 6982 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
13249  /* 6992 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
13250  /* 7004 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
13251  /* 7013 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
13252  /* 7022 */ 's', 't', 'o', 's', 'q', 9, 0,
13253  /* 7029 */ 'c', 'm', 'p', 's', 'q', 9, 0,
13254  /* 7036 */ 'm', 'o', 'v', 's', 'q', 9, 0,
13255  /* 7043 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
13256  /* 7053 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
13257  /* 7061 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
13258  /* 7069 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
13259  /* 7078 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
13260  /* 7092 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
13261  /* 7101 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
13262  /* 7110 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
13263  /* 7119 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
13264  /* 7128 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
13265  /* 7140 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
13266  /* 7147 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
13267  /* 7157 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
13268  /* 7168 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
13269  /* 7179 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
13270  /* 7190 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
13271  /* 7199 */ 'l', 'a', 'r', 9, 0,
13272  /* 7204 */ 's', 'a', 'r', 9, 0,
13273  /* 7209 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
13274  /* 7217 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
13275  /* 7225 */ 'r', 'c', 'r', 9, 0,
13276  /* 7230 */ 'e', 'n', 't', 'e', 'r', 9, 0,
13277  /* 7237 */ 's', 'h', 'r', 9, 0,
13278  /* 7242 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
13279  /* 7252 */ 'v', 'p', 'o', 'r', 9, 0,
13280  /* 7258 */ 'r', 'o', 'r', 9, 0,
13281  /* 7263 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
13282  /* 7271 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
13283  /* 7280 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
13284  /* 7287 */ 'v', 'e', 'r', 'r', 9, 0,
13285  /* 7293 */ 'b', 's', 'r', 9, 0,
13286  /* 7298 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
13287  /* 7308 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
13288  /* 7318 */ 'b', 'l', 's', 'r', 9, 0,
13289  /* 7324 */ 'b', 't', 'r', 9, 0,
13290  /* 7329 */ 'l', 't', 'r', 9, 0,
13291  /* 7334 */ 's', 't', 'r', 9, 0,
13292  /* 7339 */ 'b', 'e', 'x', 't', 'r', 9, 0,
13293  /* 7346 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
13294  /* 7353 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
13295  /* 7361 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
13296  /* 7369 */ 'b', 'l', 'c', 's', 9, 0,
13297  /* 7375 */ 'l', 'd', 's', 9, 0,
13298  /* 7380 */ 'l', 'e', 's', 9, 0,
13299  /* 7385 */ 'l', 'f', 's', 9, 0,
13300  /* 7390 */ 'l', 'g', 's', 9, 0,
13301  /* 7395 */ 'j', 's', 9, 0,
13302  /* 7399 */ 'j', 'n', 's', 9, 0,
13303  /* 7404 */ 's', 'e', 't', 'n', 's', 9, 0,
13304  /* 7411 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
13305  /* 7419 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
13306  /* 7435 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
13307  /* 7448 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
13308  /* 7462 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
13309  /* 7478 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
13310  /* 7491 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
13311  /* 7505 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
13312  /* 7521 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
13313  /* 7534 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
13314  /* 7548 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
13315  /* 7564 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
13316  /* 7577 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
13317  /* 7591 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
13318  /* 7602 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
13319  /* 7613 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
13320  /* 7623 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
13321  /* 7635 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
13322  /* 7646 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
13323  /* 7662 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
13324  /* 7675 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
13325  /* 7689 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
13326  /* 7705 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
13327  /* 7718 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
13328  /* 7732 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
13329  /* 7741 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
13330  /* 7754 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
13331  /* 7765 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
13332  /* 7774 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
13333  /* 7784 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
13334  /* 7795 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
13335  /* 7803 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
13336  /* 7816 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
13337  /* 7825 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
13338  /* 7835 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
13339  /* 7846 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
13340  /* 7854 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
13341  /* 7862 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
13342  /* 7872 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
13343  /* 7882 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
13344  /* 7894 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
13345  /* 7903 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
13346  /* 7914 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
13347  /* 7924 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
13348  /* 7933 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
13349  /* 7944 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
13350  /* 7954 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
13351  /* 7965 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
13352  /* 7976 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
13353  /* 7984 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
13354  /* 7993 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
13355  /* 8002 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
13356  /* 8011 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
13357  /* 8019 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
13358  /* 8027 */ 'v', 'd', 'p', 'p', 's', 9, 0,
13359  /* 8034 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
13360  /* 8042 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
13361  /* 8054 */ 'v', 'o', 'r', 'p', 's', 9, 0,
13362  /* 8061 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
13363  /* 8069 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
13364  /* 8081 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
13365  /* 8091 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
13366  /* 8102 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
13367  /* 8112 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
13368  /* 8121 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
13369  /* 8130 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
13370  /* 8139 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
13371  /* 8150 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
13372  /* 8158 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
13373  /* 8170 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
13374  /* 8178 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
13375  /* 8187 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
13376  /* 8200 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
13377  /* 8214 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
13378  /* 8227 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
13379  /* 8241 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
13380  /* 8254 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
13381  /* 8268 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
13382  /* 8281 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
13383  /* 8295 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
13384  /* 8306 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
13385  /* 8317 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
13386  /* 8329 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
13387  /* 8342 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
13388  /* 8356 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
13389  /* 8369 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
13390  /* 8383 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
13391  /* 8393 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
13392  /* 8404 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
13393  /* 8412 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
13394  /* 8422 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
13395  /* 8433 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
13396  /* 8441 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
13397  /* 8451 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
13398  /* 8461 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
13399  /* 8470 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
13400  /* 8478 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
13401  /* 8486 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
13402  /* 8494 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
13403  /* 8502 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
13404  /* 8511 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
13405  /* 8521 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
13406  /* 8530 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
13407  /* 8544 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
13408  /* 8552 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
13409  /* 8560 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
13410  /* 8568 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
13411  /* 8577 */ 'b', 't', 's', 9, 0,
13412  /* 8582 */ 's', 'e', 't', 's', 9, 0,
13413  /* 8588 */ 'c', 'm', 'o', 'v', 's', 9, 0,
13414  /* 8595 */ 'b', 't', 9, 0,
13415  /* 8599 */ 'l', 'g', 'd', 't', 9, 0,
13416  /* 8605 */ 's', 'g', 'd', 't', 9, 0,
13417  /* 8611 */ 'l', 'i', 'd', 't', 9, 0,
13418  /* 8617 */ 's', 'i', 'd', 't', 9, 0,
13419  /* 8623 */ 'l', 'l', 'd', 't', 9, 0,
13420  /* 8629 */ 's', 'l', 'd', 't', 9, 0,
13421  /* 8635 */ 'r', 'e', 't', 9, 0,
13422  /* 8640 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
13423  /* 8649 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
13424  /* 8657 */ 'l', 'z', 'c', 'n', 't', 9, 0,
13425  /* 8664 */ 't', 'z', 'c', 'n', 't', 9, 0,
13426  /* 8671 */ 'i', 'n', 't', 9, 0,
13427  /* 8676 */ 'n', 'o', 't', 9, 0,
13428  /* 8681 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
13429  /* 8689 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
13430  /* 8699 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
13431  /* 8707 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
13432  /* 8716 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
13433  /* 8729 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
13434  /* 8742 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
13435  /* 8750 */ 'f', 's', 't', 9, 0,
13436  /* 8755 */ 'f', 'i', 's', 't', 9, 0,
13437  /* 8761 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
13438  /* 8779 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
13439  /* 8788 */ 'o', 'u', 't', 9, 0,
13440  /* 8793 */ 'p', 'e', 'x', 't', 9, 0,
13441  /* 8799 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
13442  /* 8807 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
13443  /* 8820 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
13444  /* 8829 */ 'f', 'd', 'i', 'v', 9, 0,
13445  /* 8835 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
13446  /* 8842 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
13447  /* 8850 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
13448  /* 8859 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
13449  /* 8867 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
13450  /* 8875 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
13451  /* 8883 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
13452  /* 8893 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
13453  /* 8903 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
13454  /* 8912 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
13455  /* 8922 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
13456  /* 8934 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
13457  /* 8946 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
13458  /* 8957 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
13459  /* 8966 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
13460  /* 8974 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
13461  /* 8985 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
13462  /* 8996 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
13463  /* 9003 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
13464  /* 9011 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
13465  /* 9020 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
13466  /* 9028 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
13467  /* 9038 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
13468  /* 9049 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
13469  /* 9060 */ 'p', 'i', '2', 'f', 'w', 9, 0,
13470  /* 9067 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
13471  /* 9075 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
13472  /* 9083 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
13473  /* 9094 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
13474  /* 9104 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
13475  /* 9113 */ 'p', 'f', '2', 'i', 'w', 9, 0,
13476  /* 9120 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
13477  /* 9130 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
13478  /* 9138 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
13479  /* 9146 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
13480  /* 9155 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
13481  /* 9163 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
13482  /* 9171 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
13483  /* 9180 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
13484  /* 9190 */ 'v', 'e', 'r', 'w', 9, 0,
13485  /* 9196 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
13486  /* 9205 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
13487  /* 9214 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
13488  /* 9223 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
13489  /* 9231 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
13490  /* 9243 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
13491  /* 9253 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
13492  /* 9262 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
13493  /* 9272 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
13494  /* 9281 */ 'l', 'm', 's', 'w', 9, 0,
13495  /* 9287 */ 's', 'm', 's', 'w', 9, 0,
13496  /* 9293 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
13497  /* 9302 */ 's', 't', 'o', 's', 'w', 9, 0,
13498  /* 9309 */ 'c', 'm', 'p', 's', 'w', 9, 0,
13499  /* 9316 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
13500  /* 9327 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
13501  /* 9335 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
13502  /* 9345 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
13503  /* 9355 */ 'm', 'o', 'v', 's', 'w', 9, 0,
13504  /* 9362 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
13505  /* 9371 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
13506  /* 9381 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
13507  /* 9389 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
13508  /* 9403 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
13509  /* 9413 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
13510  /* 9422 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
13511  /* 9431 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
13512  /* 9444 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
13513  /* 9453 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
13514  /* 9463 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
13515  /* 9474 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
13516  /* 9481 */ 'a', 'd', 'c', 'x', 9, 0,
13517  /* 9487 */ 's', 'h', 'l', 'x', 9, 0,
13518  /* 9493 */ 'm', 'u', 'l', 'x', 9, 0,
13519  /* 9499 */ 'a', 'd', 'o', 'x', 9, 0,
13520  /* 9505 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
13521  /* 9518 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
13522  /* 9530 */ 's', 'a', 'r', 'x', 9, 0,
13523  /* 9536 */ 's', 'h', 'r', 'x', 9, 0,
13524  /* 9542 */ 'r', 'o', 'r', 'x', 9, 0,
13525  /* 9548 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
13526  /* 9560 */ 'm', 'o', 'v', 's', 'x', 9, 0,
13527  /* 9567 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
13528  /* 9574 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
13529  /* 9581 */ 'j', 'c', 'x', 'z', 9, 0,
13530  /* 9587 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
13531  /* 9594 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13532  /* 9610 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13533  /* 9625 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13534  /* 9642 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13535  /* 9658 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13536  /* 9674 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13537  /* 9689 */ 'f', 'x', 'c', 'h', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13538  /* 9702 */ 'f', 'c', 'o', 'm', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13539  /* 9715 */ 'f', 'c', 'o', 'm', 'p', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13540  /* 9729 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13541  /* 9745 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
13542  /* 9760 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
13543  /* 9769 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
13544  /* 9780 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
13545  /* 9791 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
13546  /* 9800 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
13547  /* 9809 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
13548  /* 9818 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
13549  /* 9827 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
13550  /* 9835 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
13551  /* 9844 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
13552  /* 9853 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
13553  /* 9865 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
13554  /* 9875 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
13555  /* 9884 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
13556  /* 9893 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
13557  /* 9902 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
13558  /* 9911 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
13559  /* 9920 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
13560  /* 9929 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
13561  /* 9939 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
13562  /* 9947 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
13563  /* 9956 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
13564  /* 9965 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
13565  /* 9977 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
13566  /* 9987 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
13567  /* 9996 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
13568  /* 10007 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
13569  /* 10018 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
13570  /* 10028 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
13571  /* 10038 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
13572  /* 10048 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
13573  /* 10058 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
13574  /* 10068 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
13575  /* 10080 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
13576  /* 10092 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
13577  /* 10103 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
13578  /* 10112 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
13579  /* 10122 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
13580  /* 10132 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
13581  /* 10145 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
13582  /* 10156 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
13583  /* 10166 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
13584  /* 10176 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
13585  /* 10186 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
13586  /* 10196 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
13587  /* 10206 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
13588  /* 10216 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
13589  /* 10227 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
13590  /* 10237 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
13591  /* 10249 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
13592  /* 10261 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
13593  /* 10271 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
13594  /* 10284 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
13595  /* 10295 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
13596  /* 10306 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
13597  /* 10317 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
13598  /* 10328 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
13599  /* 10339 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
13600  /* 10362 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
13601  /* 10376 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
13602  /* 10400 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
13603  /* 10417 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
13604  /* 10433 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
13605  /* 10448 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
13606  /* 10462 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
13607  /* 10476 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13608  /* 10496 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13609  /* 10516 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13610  /* 10536 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13611  /* 10556 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13612  /* 10577 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13613  /* 10597 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13614  /* 10617 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13615  /* 10636 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13616  /* 10656 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13617  /* 10676 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13618  /* 10696 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13619  /* 10716 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13620  /* 10736 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13621  /* 10756 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13622  /* 10776 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13623  /* 10795 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13624  /* 10813 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13625  /* 10834 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
13626  /* 10855 */ 'x', 's', 'h', 'a', '1', 0,
13627  /* 10861 */ 'f', 'l', 'd', '1', 0,
13628  /* 10866 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
13629  /* 10873 */ 'f', '2', 'x', 'm', '1', 0,
13630  /* 10879 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
13631  /* 10887 */ 'i', 'n', 't', '1', 0,
13632  /* 10892 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
13633  /* 10911 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
13634  /* 10929 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
13635  /* 10942 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
13636  /* 10955 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
13637  /* 10973 */ 'u', 'd', '2', 0,
13638  /* 10977 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
13639  /* 10984 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
13640  /* 10991 */ 'i', 'n', 't', '3', 0,
13641  /* 10996 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
13642  /* 11015 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
13643  /* 11033 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
13644  /* 11046 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
13645  /* 11059 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
13646  /* 11077 */ 'r', 'e', 'x', '6', '4', 0,
13647  /* 11083 */ 'd', 'a', 't', 'a', '1', '6', 0,
13648  /* 11090 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
13649  /* 11098 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
13650  /* 11111 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
13651  /* 11118 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
13652  /* 11128 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
13653  /* 11137 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
13654  /* 11155 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
13655  /* 11171 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
13656  /* 11183 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
13657  /* 11198 */ 'a', 'a', 'a', 0,
13658  /* 11202 */ 'd', 'a', 'a', 0,
13659  /* 11206 */ 'u', 'd', '2', 'b', 0,
13660  /* 11211 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
13661  /* 11221 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
13662  /* 11231 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
13663  /* 11241 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
13664  /* 11251 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
13665  /* 11261 */ 'x', 'l', 'a', 't', 'b', 0,
13666  /* 11267 */ 'c', 'l', 'a', 'c', 0,
13667  /* 11272 */ 's', 't', 'a', 'c', 0,
13668  /* 11277 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
13669  /* 11287 */ 'g', 'e', 't', 's', 'e', 'c', 0,
13670  /* 11294 */ 's', 'a', 'l', 'c', 0,
13671  /* 11299 */ 'c', 'l', 'c', 0,
13672  /* 11303 */ 'c', 'm', 'c', 0,
13673  /* 11307 */ 'r', 'd', 'p', 'm', 'c', 0,
13674  /* 11313 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
13675  /* 11320 */ 'r', 'd', 't', 's', 'c', 0,
13676  /* 11326 */ 's', 't', 'c', 0,
13677  /* 11330 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
13678  /* 11337 */ 'p', 'o', 'p', 'f', 'd', 0,
13679  /* 11343 */ 'c', 'p', 'u', 'i', 'd', 0,
13680  /* 11349 */ 'c', 'l', 'd', 0,
13681  /* 11353 */ 'x', 'e', 'n', 'd', 0,
13682  /* 11358 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
13683  /* 11368 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
13684  /* 11378 */ 'i', 'r', 'e', 't', 'd', 0,
13685  /* 11384 */ 's', 't', 'd', 0,
13686  /* 11388 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
13687  /* 11395 */ 'c', 'w', 'd', 0,
13688  /* 11399 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
13689  /* 11406 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
13690  /* 11413 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
13691  /* 11420 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
13692  /* 11427 */ 'c', 'w', 'd', 'e', 0,
13693  /* 11432 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
13694  /* 11439 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
13695  /* 11448 */ 'r', 'e', 'p', 'n', 'e', 0,
13696  /* 11454 */ 'c', 'd', 'q', 'e', 0,
13697  /* 11459 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
13698  /* 11468 */ 'x', 's', 't', 'o', 'r', 'e', 0,
13699  /* 11475 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
13700  /* 11484 */ 'p', 'a', 'u', 's', 'e', 0,
13701  /* 11490 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
13702  /* 11504 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
13703  /* 11521 */ 'l', 'e', 'a', 'v', 'e', 0,
13704  /* 11527 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
13705  /* 11534 */ 'l', 'a', 'h', 'f', 0,
13706  /* 11539 */ 's', 'a', 'h', 'f', 0,
13707  /* 11544 */ 'p', 'u', 's', 'h', 'f', 0,
13708  /* 11550 */ 'p', 'o', 'p', 'f', 0,
13709  /* 11555 */ 'r', 'e', 't', 'f', 0,
13710  /* 11560 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
13711  /* 11569 */ 'c', 'l', 'g', 'i', 0,
13712  /* 11574 */ 's', 't', 'g', 'i', 0,
13713  /* 11579 */ 'c', 'l', 'i', 0,
13714  /* 11583 */ 'f', 'l', 'd', 'p', 'i', 0,
13715  /* 11589 */ 's', 't', 'i', 0,
13716  /* 11593 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
13717  /* 11608 */ 'l', 'o', 'c', 'k', 0,
13718  /* 11613 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
13719  /* 11624 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
13720  /* 11631 */ 'p', 'o', 'p', 'a', 'l', 0,
13721  /* 11637 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
13722  /* 11645 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
13723  /* 11652 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
13724  /* 11660 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
13725  /* 11669 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
13726  /* 11677 */ 'f', 'x', 'a', 'm', 0,
13727  /* 11682 */ 'f', 'p', 'r', 'e', 'm', 0,
13728  /* 11688 */ 'f', 's', 'e', 't', 'p', 'm', 0,
13729  /* 11695 */ 'r', 's', 'm', 0,
13730  /* 11699 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
13731  /* 11706 */ 'f', 'p', 't', 'a', 'n', 0,
13732  /* 11712 */ 'f', 's', 'i', 'n', 0,
13733  /* 11717 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
13734  /* 11744 */ 'c', 'q', 'o', 0,
13735  /* 11748 */ 'i', 'n', 't', 'o', 0,
13736  /* 11753 */ 'r', 'd', 't', 's', 'c', 'p', 0,
13737  /* 11760 */ 'r', 'e', 'p', 0,
13738  /* 11764 */ 'v', 'p', 'c', 'm', 'p', 0,
13739  /* 11770 */ 'v', 'c', 'm', 'p', 0,
13740  /* 11775 */ 'f', 'n', 'o', 'p', 0,
13741  /* 11780 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
13742  /* 11787 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
13743  /* 11795 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
13744  /* 11803 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
13745  /* 11811 */ 'c', 'd', 'q', 0,
13746  /* 11815 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
13747  /* 11822 */ 'p', 'o', 'p', 'f', 'q', 0,
13748  /* 11828 */ 'r', 'e', 't', 'f', 'q', 0,
13749  /* 11834 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
13750  /* 11844 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
13751  /* 11854 */ 'i', 'r', 'e', 't', 'q', 0,
13752  /* 11860 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
13753  /* 11871 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
13754  /* 11880 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
13755  /* 11888 */ 'r', 'd', 'm', 's', 'r', 0,
13756  /* 11894 */ 'w', 'r', 'm', 's', 'r', 0,
13757  /* 11900 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
13758  /* 11910 */ 'a', 'a', 's', 0,
13759  /* 11914 */ 'd', 'a', 's', 0,
13760  /* 11918 */ 'f', 'a', 'b', 's', 0,
13761  /* 11923 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
13762  /* 11931 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
13763  /* 11939 */ 'p', 'o', 'p', 9, 'd', 's', 0,
13764  /* 11946 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
13765  /* 11954 */ 'p', 'o', 'p', 9, 'e', 's', 0,
13766  /* 11961 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
13767  /* 11969 */ 'p', 'o', 'p', 9, 'f', 's', 0,
13768  /* 11976 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
13769  /* 11984 */ 'p', 'o', 'p', 9, 'g', 's', 0,
13770  /* 11991 */ 's', 'w', 'a', 'p', 'g', 's', 0,
13771  /* 11998 */ 'f', 'c', 'h', 's', 0,
13772  /* 12003 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
13773  /* 12048 */ 'e', 'n', 'c', 'l', 's', 0,
13774  /* 12054 */ 'f', 'e', 'm', 'm', 's', 0,
13775  /* 12060 */ 'f', 'c', 'o', 's', 0,
13776  /* 12065 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
13777  /* 12073 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
13778  /* 12081 */ 'p', 'o', 'p', 9, 's', 's', 0,
13779  /* 12088 */ 'c', 'l', 't', 's', 0,
13780  /* 12093 */ 'f', 'l', 'd', 'l', '2', 't', 0,
13781  /* 12100 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
13782  /* 12108 */ 'i', 'r', 'e', 't', 0,
13783  /* 12113 */ 's', 'y', 's', 'r', 'e', 't', 0,
13784  /* 12120 */ 'm', 'w', 'a', 'i', 't', 0,
13785  /* 12126 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
13786  /* 12133 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
13787  /* 12141 */ 'h', 'l', 't', 0,
13788  /* 12145 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
13789  /* 12153 */ 'f', 's', 'q', 'r', 't', 0,
13790  /* 12159 */ 'x', 't', 'e', 's', 't', 0,
13791  /* 12165 */ 'f', 't', 's', 't', 0,
13792  /* 12170 */ 'e', 'n', 'c', 'l', 'u', 0,
13793  /* 12176 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
13794  /* 12183 */ 'x', 's', 'e', 't', 'b', 'v', 0,
13795  /* 12190 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
13796  /* 12197 */ 'p', 'o', 'p', 'a', 'w', 0,
13797  /* 12203 */ 'c', 'b', 'w', 0,
13798  /* 12207 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
13799  /* 12217 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
13800  /* 12227 */ 'f', 'y', 'l', '2', 'x', 0,
13801  /* 12233 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
13802  /* 12243 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
13803  /* 12254 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
13804  /* 12265 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
13805  /* 12276 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
13806  /* 12286 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
13807  /* 12297 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
13808  /* 12309 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
13809  /* 12320 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
13810  /* 12331 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
13811  /* 12341 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
13812  /* 12358 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
13813  /* 12375 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
13814  /* 12385 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
13815  /* 12395 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
13816  /* 12406 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
13817  /* 12413 */ 'f', 'l', 'd', 'z', 0,
13818  /* 12418 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
13819  /* 12435 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
13820  /* 12453 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
13821  /* 12470 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
13822  /* 12488 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
13823  /* 12505 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
13824  /* 12523 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
13825  /* 12540 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
13826  /* 12558 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
13827  /* 12575 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
13828  /* 12593 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
13829  /* 12610 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
13830  /* 12628 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
13831  /* 12645 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
13832  /* 12663 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
13833  /* 12680 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
13834  };
13835#endif
13836
13837  // Emit the opcode for the instruction.
13838  unsigned int opcode = MCInst_getOpcode(MI);
13839  //printf(">> opcode = %u\n", opcode);
13840  uint64_t Bits1 = OpInfo[opcode];
13841  uint64_t Bits2 = OpInfo2[opcode];
13842  uint64_t Bits = (Bits2 << 32) | Bits1;
13843  // assert(Bits != 0 && "Cannot print this instruction.");
13844  if (!X86_lockrep(MI, O))
13845#ifndef CAPSTONE_DIET
13846    SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
13847#else
13848	;
13849#endif
13850
13851
13852  // Fragment 0 encoded into 6 bits for 45 unique commands.
13853  //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
13854  switch ((Bits >> 14) & 63) {
13855  default:   // unreachable.
13856  case 0:
13857    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, AAA, AAS, ABS_F, ACQU...
13858    return;
13859    break;
13860  case 1:
13861    // AAD8i8, AAM8i8, ADC16i16, ADC16rr_REV, ADC32i32, ADC32rr_REV, ADC64i32...
13862    printOperand(MI, 0, O);
13863    break;
13864  case 2:
13865    // ADC16mi, ADC16mi8, ADC16mr, ADD16mi, ADD16mi8, ADD16mr, ADD_FI16m, AND...
13866    printi16mem(MI, 0, O);
13867    break;
13868  case 3:
13869    // ADC16ri, ADC16ri8, ADC16rm, ADC16rr, ADC32ri, ADC32ri8, ADC32rm, ADC32...
13870    printOperand(MI, 1, O);
13871    break;
13872  case 4:
13873    // ADC32mi, ADC32mi8, ADC32mr, ADD32mi, ADD32mi8, ADD32mr, ADD_FI32m, AND...
13874    printi32mem(MI, 0, O);
13875    break;
13876  case 5:
13877    // ADC64mi32, ADC64mi8, ADC64mr, ADD64mi32, ADD64mi8, ADD64mr, AND64mi32,...
13878    printi64mem(MI, 0, O);
13879    break;
13880  case 6:
13881    // ADC8mi, ADC8mr, ADD8mi, ADD8mr, AND8mi, AND8mr, CLFLUSH, CMP8mi, CMP8m...
13882    printi8mem(MI, 0, O);
13883    break;
13884  case 7:
13885    // ADD_F32m, DIVR_F32m, DIV_F32m, EXTRACTPSmr, FBLDm, FBSTPm, FCOM32m, FC...
13886    printf32mem(MI, 0, O);
13887    break;
13888  case 8:
13889    // ADD_F64m, DIVR_F64m, DIV_F64m, FCOM64m, FCOMP64m, LD_F64m, MOVHPDmr, M...
13890    printf64mem(MI, 0, O);
13891    break;
13892  case 9:
13893    // CALL64pcrel32, CALLpcrel16, CALLpcrel32, EH_SjLj_Setup, JAE_1, JAE_2, ...
13894    printPCRelImm(MI, 0, O);
13895    break;
13896  case 10:
13897    // CMPPDrmi, CMPPSrmi, CMPSDrm, CMPSSrm, Int_CMPSDrm, Int_CMPSSrm
13898    printSSECC(MI, 7, O);
13899    break;
13900  case 11:
13901    // CMPPDrri, CMPPSrri, CMPSDrr, CMPSSrr, Int_CMPSDrr, Int_CMPSSrr
13902    printSSECC(MI, 3, O);
13903    break;
13904  case 12:
13905    // CMPSB
13906    printSrcIdx8(MI, 1, O);
13907    SStream_concat0(O, ", ");
13908    printDstIdx8(MI, 0, O);
13909    return;
13910    break;
13911  case 13:
13912    // CMPSL
13913    printSrcIdx32(MI, 1, O);
13914    SStream_concat0(O, ", ");
13915    printDstIdx32(MI, 0, O);
13916    return;
13917    break;
13918  case 14:
13919    // CMPSQ
13920    printSrcIdx64(MI, 1, O);
13921    SStream_concat0(O, ", ");
13922    printDstIdx64(MI, 0, O);
13923    return;
13924    break;
13925  case 15:
13926    // CMPSW
13927    printSrcIdx16(MI, 1, O);
13928    SStream_concat0(O, ", ");
13929    printDstIdx16(MI, 0, O);
13930    return;
13931    break;
13932  case 16:
13933    // CMPXCHG16B, LCMPXCHG16B, MOVDQAmr, MOVDQUmr, VEXTRACTI128mr, VEXTRACTI...
13934    printi128mem(MI, 0, O);
13935    break;
13936  case 17:
13937    // FARCALL16m, FARCALL32m, FARCALL64, FARJMP16m, FARJMP32m, FARJMP64, FXR...
13938    printopaquemem(MI, 0, O);
13939    return;
13940    break;
13941  case 18:
13942    // INSB, MOVSB, SCASB, STOSB
13943    printDstIdx8(MI, 0, O);
13944    break;
13945  case 19:
13946    // INSL, MOVSL, SCASL, STOSL
13947    printDstIdx32(MI, 0, O);
13948    break;
13949  case 20:
13950    // INSW, MOVSW, SCASW, STOSW
13951    printDstIdx16(MI, 0, O);
13952    break;
13953  case 21:
13954    // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
13955    printAVXCC(MI, 7, O);
13956    break;
13957  case 22:
13958    // Int_VCMPSDrr, Int_VCMPSSrr, VCMPPDYrri, VCMPPDZrri, VCMPPDZrrib, VCMPP...
13959    printAVXCC(MI, 3, O);
13960    break;
13961  case 23:
13962    // LD_F80m, ST_FP80m
13963    printf80mem(MI, 0, O);
13964    return;
13965    break;
13966  case 24:
13967    // LODSB, OUTSB
13968    printSrcIdx8(MI, 0, O);
13969    return;
13970    break;
13971  case 25:
13972    // LODSL, OUTSL
13973    printSrcIdx32(MI, 0, O);
13974    return;
13975    break;
13976  case 26:
13977    // LODSQ
13978    printSrcIdx64(MI, 0, O);
13979    return;
13980    break;
13981  case 27:
13982    // LODSW, OUTSW
13983    printSrcIdx16(MI, 0, O);
13984    return;
13985    break;
13986  case 28:
13987    // LXADD16, XCHG16rm
13988    printi16mem(MI, 2, O);
13989    SStream_concat0(O, ", ");
13990    printOperand(MI, 1, O);
13991    return;
13992    break;
13993  case 29:
13994    // LXADD32, XCHG32rm
13995    printi32mem(MI, 2, O);
13996    SStream_concat0(O, ", ");
13997    printOperand(MI, 1, O);
13998    return;
13999    break;
14000  case 30:
14001    // LXADD64, XCHG64rm
14002    printi64mem(MI, 2, O);
14003    SStream_concat0(O, ", ");
14004    printOperand(MI, 1, O);
14005    return;
14006    break;
14007  case 31:
14008    // LXADD8, XCHG8rm
14009    printi8mem(MI, 2, O);
14010    SStream_concat0(O, ", ");
14011    printOperand(MI, 1, O);
14012    return;
14013    break;
14014  case 32:
14015    // MOV16ao16, MOV16ao16_16, MOV16o16a, MOV16o16a_16, MOV64ao16, MOV64o16a
14016    printMemOffs16(MI, 0, O);
14017    break;
14018  case 33:
14019    // MOV32ao32, MOV32ao32_16, MOV32o32a, MOV32o32a_16, MOV64ao32, MOV64o32a
14020    printMemOffs32(MI, 0, O);
14021    break;
14022  case 34:
14023    // MOV64ao64, MOV64o64a
14024    printMemOffs64(MI, 0, O);
14025    break;
14026  case 35:
14027    // MOV64ao8, MOV64o8a, MOV8ao8, MOV8ao8_16, MOV8o8a, MOV8o8a_16
14028    printMemOffs8(MI, 0, O);
14029    break;
14030  case 36:
14031    // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
14032    printf128mem(MI, 0, O);
14033    break;
14034  case 37:
14035    // MOVSQ, SCASQ, STOSQ
14036    printDstIdx64(MI, 0, O);
14037    break;
14038  case 38:
14039    // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYm...
14040    printf256mem(MI, 0, O);
14041    break;
14042  case 39:
14043    // VEXTRACTI64x4mr, VMOVDQA32Z256mr, VMOVDQA32Z256mrk, VMOVDQA64Z256mr, V...
14044    printi256mem(MI, 0, O);
14045    break;
14046  case 40:
14047    // VMOVAPDZmr, VMOVAPDZmrk, VMOVAPSZmr, VMOVAPSZmrk, VMOVNTPDZmr, VMOVNTP...
14048    printf512mem(MI, 0, O);
14049    break;
14050  case 41:
14051    // VMOVDQA32Zmr, VMOVDQA32Zmrk, VMOVDQA64Zmr, VMOVDQA64Zmrk, VMOVDQU16Zmr...
14052    printi512mem(MI, 0, O);
14053    break;
14054  case 42:
14055    // VPSCATTERDDZmr, VSCATTERDPSZmr
14056    printi32mem(MI, 1, O);
14057    SStream_concat0(O, " {");
14058    printOperand(MI, 6, O);
14059    SStream_concat0(O, "}, ");
14060    printOperand(MI, 7, O);
14061    return;
14062    break;
14063  case 43:
14064    // VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATTERDPDZmr, VSCATT...
14065    printi64mem(MI, 1, O);
14066    SStream_concat0(O, " {");
14067    printOperand(MI, 6, O);
14068    SStream_concat0(O, "}, ");
14069    printOperand(MI, 7, O);
14070    return;
14071    break;
14072  case 44:
14073    // XCHG16rr, XCHG32rr, XCHG64rr, XCHG8rr
14074    printOperand(MI, 2, O);
14075    SStream_concat0(O, ", ");
14076    printOperand(MI, 1, O);
14077    return;
14078    break;
14079  }
14080
14081
14082  // Fragment 1 encoded into 5 bits for 24 unique commands.
14083  //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
14084  switch ((Bits >> 20) & 31) {
14085  default:   // unreachable.
14086  case 0:
14087    // AAD8i8, AAM8i8, ADC16i16, ADC32i32, ADC64i32, ADC8i8, ADD16i16, ADD32i...
14088    return;
14089    break;
14090  case 1:
14091    // ADC16mi, ADC16mi8, ADC16mr, ADC16ri, ADC16ri8, ADC16rm, ADC16rr, ADC16...
14092    SStream_concat0(O, ", ");
14093    break;
14094  case 2:
14095    // ADD_FrST0, DIVR_FrST0, DIV_FrST0, MUL_FrST0, ST_FPNCEST0r, ST_FPST0r, ...
14096    SStream_concat0(O, ", st(0)");
14097    op_addReg(MI, X86_REG_ST0);
14098    return;
14099    break;
14100  case 3:
14101    // CMPPDrmi, CMPPDrri, VCMPPDYrmi, VCMPPDYrri, VCMPPDrmi, VCMPPDrri
14102    SStream_concat0(O, "pd\t");
14103    printOperand(MI, 0, O);
14104    SStream_concat0(O, ", ");
14105    break;
14106  case 4:
14107    // CMPPSrmi, CMPPSrri, VCMPPSYrmi, VCMPPSYrri, VCMPPSrmi, VCMPPSrri
14108    SStream_concat0(O, "ps\t");
14109    printOperand(MI, 0, O);
14110    SStream_concat0(O, ", ");
14111    break;
14112  case 5:
14113    // CMPSDrm, CMPSDrr, Int_CMPSDrm, Int_CMPSDrr, Int_VCMPSDrm, Int_VCMPSDrr...
14114    SStream_concat0(O, "sd\t");
14115    printOperand(MI, 0, O);
14116    SStream_concat0(O, ", ");
14117    break;
14118  case 6:
14119    // CMPSSrm, CMPSSrr, Int_CMPSSrm, Int_CMPSSrr, Int_VCMPSSrm, Int_VCMPSSrr...
14120    SStream_concat0(O, "ss\t");
14121    printOperand(MI, 0, O);
14122    SStream_concat0(O, ", ");
14123    break;
14124  case 7:
14125    // FARCALL16i, FARCALL32i, FARJMP16i, FARJMP32i
14126    SStream_concat0(O, ":");
14127    printOperand(MI, 0, O);
14128    return;
14129    break;
14130  case 8:
14131    // INSB, INSL, INSW
14132    SStream_concat0(O, ", dx");
14133    op_addReg(MI, X86_REG_DX);
14134    return;
14135    break;
14136  case 9:
14137    // MOV16ao16, MOV16ao16_16, MOV64ao16, OUT16ir, STOSW
14138    SStream_concat0(O, ", ax");
14139    op_addReg(MI, X86_REG_AX);
14140    return;
14141    break;
14142  case 10:
14143    // MOV32ao32, MOV32ao32_16, MOV64ao32, OUT32ir, STOSL
14144    SStream_concat0(O, ", eax");
14145    op_addReg(MI, X86_REG_EAX);
14146    return;
14147    break;
14148  case 11:
14149    // MOV64ao64, STOSQ
14150    SStream_concat0(O, ", rax");
14151    op_addReg(MI, X86_REG_RAX);
14152    return;
14153    break;
14154  case 12:
14155    // MOV64ao8, MOV8ao8, MOV8ao8_16, OUT8ir, STOSB
14156    SStream_concat0(O, ", al");
14157    op_addReg(MI, X86_REG_AL);
14158    return;
14159    break;
14160  case 13:
14161    // RCL16m1, RCL16r1, RCL32m1, RCL32r1, RCL64m1, RCL64r1, RCL8m1, RCL8r1, ...
14162    SStream_concat0(O, ", 1");
14163    op_addImm(MI, 1);
14164    return;
14165    break;
14166  case 14:
14167    // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
14168    SStream_concat0(O, ", cl");
14169    op_addReg(MI, X86_REG_CL);
14170    return;
14171    break;
14172  case 15:
14173    // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
14174    return;
14175    break;
14176  case 16:
14177    // VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZrrk, VADDPD...
14178    SStream_concat0(O, " {");
14179    break;
14180  case 17:
14181    // VCMPPDZrmi, VCMPPDZrri, VCMPPDZrrib
14182    SStream_concat0(O, "pd \t");
14183    printOperand(MI, 0, O);
14184    SStream_concat0(O, ", ");
14185    printOperand(MI, 1, O);
14186    SStream_concat0(O, ", ");
14187    break;
14188  case 18:
14189    // VCMPPSZrmi, VCMPPSZrri, VCMPPSZrrib
14190    SStream_concat0(O, "ps \t");
14191    printOperand(MI, 0, O);
14192    SStream_concat0(O, ", ");
14193    printOperand(MI, 1, O);
14194    SStream_concat0(O, ", ");
14195    break;
14196  case 19:
14197    // VGATHERPF0DPDm, VGATHERPF0DPSm, VGATHERPF0QPDm, VGATHERPF0QPSm, VGATHE...
14198    SStream_concat0(O, "}, ");
14199    break;
14200  case 20:
14201    // VPCMPDZrmi, VPCMPDZrri
14202    SStream_concat0(O, "d\t");
14203    printOperand(MI, 0, O);
14204    SStream_concat0(O, ", ");
14205    printOperand(MI, 1, O);
14206    SStream_concat0(O, ", ");
14207    break;
14208  case 21:
14209    // VPCMPQZrmi, VPCMPQZrri
14210    SStream_concat0(O, "q\t");
14211    printOperand(MI, 0, O);
14212    SStream_concat0(O, ", ");
14213    printOperand(MI, 1, O);
14214    SStream_concat0(O, ", ");
14215    break;
14216  case 22:
14217    // VPCMPUDZrmi, VPCMPUDZrri
14218    SStream_concat0(O, "ud\t");
14219    printOperand(MI, 0, O);
14220    SStream_concat0(O, ", ");
14221    printOperand(MI, 1, O);
14222    SStream_concat0(O, ", ");
14223    break;
14224  case 23:
14225    // VPCMPUQZrmi, VPCMPUQZrri
14226    SStream_concat0(O, "uq\t");
14227    printOperand(MI, 0, O);
14228    SStream_concat0(O, ", ");
14229    printOperand(MI, 1, O);
14230    SStream_concat0(O, ", ");
14231    break;
14232  }
14233
14234
14235  // Fragment 2 encoded into 6 bits for 34 unique commands.
14236  //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 63);
14237  switch ((Bits >> 25) & 63) {
14238  default:   // unreachable.
14239  case 0:
14240    // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
14241    printOperand(MI, 5, O);
14242    break;
14243  case 1:
14244    // ADC16ri, ADC16ri8, ADC16rr, ADC16rr_REV, ADC32ri, ADC32ri8, ADC32rr, A...
14245    printOperand(MI, 2, O);
14246    break;
14247  case 2:
14248    // ADC16rm, ADD16rm, AND16rm, CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16r...
14249    printi16mem(MI, 2, O);
14250    break;
14251  case 3:
14252    // ADC32rm, ADCX32rm, ADD32rm, AND32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm,...
14253    printi32mem(MI, 2, O);
14254    break;
14255  case 4:
14256    // ADC64rm, ADCX64rm, ADD64rm, AND64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm,...
14257    printi64mem(MI, 2, O);
14258    break;
14259  case 5:
14260    // ADC8rm, ADD8rm, AND8rm, CRC32r32m8, CRC32r64m8, OR8rm, PINSRBrm, SBB8r...
14261    printi8mem(MI, 2, O);
14262    break;
14263  case 6:
14264    // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
14265    printf128mem(MI, 2, O);
14266    break;
14267  case 7:
14268    // ADDSDrm, ADDSDrm_Int, CMPSDrm, CMPSDrm_alt, DIVSDrm, DIVSDrm_Int, Int_...
14269    printf64mem(MI, 2, O);
14270    break;
14271  case 8:
14272    // ADDSSrm, ADDSSrm_Int, CMPSSrm, CMPSSrm_alt, DIVSSrm, DIVSSrm_Int, INSE...
14273    printf32mem(MI, 2, O);
14274    break;
14275  case 9:
14276    // ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLC...
14277    printi32mem(MI, 1, O);
14278    break;
14279  case 10:
14280    // ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr...
14281    printOperand(MI, 1, O);
14282    break;
14283  case 11:
14284    // ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLC...
14285    printi64mem(MI, 1, O);
14286    break;
14287  case 12:
14288    // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, MPSADBWrmi, PACKSSDWrm...
14289    printi128mem(MI, 2, O);
14290    break;
14291  case 13:
14292    // AESIMCrm, AESKEYGENASSIST128rm, CVTDQ2PSrm, INVEPT32, INVEPT64, INVPCI...
14293    printi128mem(MI, 1, O);
14294    break;
14295  case 14:
14296    // BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, KMOVWkm, LAR16rm, LA...
14297    printi16mem(MI, 1, O);
14298    break;
14299  case 15:
14300    // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
14301    printi8mem(MI, 1, O);
14302    break;
14303  case 16:
14304    // COMISDrm, COMISSrm, CVTPD2DQrm, CVTPD2PSrm, CVTPS2DQrm, CVTTPD2DQrm, C...
14305    printf128mem(MI, 1, O);
14306    break;
14307  case 17:
14308    // CVTPS2PDrm, CVTSD2SI64rm, CVTSD2SIrm, CVTSD2SSrm, CVTTSD2SI64rm, CVTTS...
14309    printf64mem(MI, 1, O);
14310    return;
14311    break;
14312  case 18:
14313    // CVTSS2SDrm, CVTSS2SI64rm, CVTSS2SIrm, CVTTSS2SI64rm, CVTTSS2SIrm, Int_...
14314    printf32mem(MI, 1, O);
14315    return;
14316    break;
14317  case 19:
14318    // LDS16rm, LDS32rm, LES16rm, LES32rm, LFS16rm, LFS32rm, LFS64rm, LGS16rm...
14319    printopaquemem(MI, 1, O);
14320    return;
14321    break;
14322  case 20:
14323    // MOVSB
14324    printSrcIdx8(MI, 1, O);
14325    return;
14326    break;
14327  case 21:
14328    // MOVSL
14329    printSrcIdx32(MI, 1, O);
14330    return;
14331    break;
14332  case 22:
14333    // MOVSQ
14334    printSrcIdx64(MI, 1, O);
14335    return;
14336    break;
14337  case 23:
14338    // MOVSW
14339    printSrcIdx16(MI, 1, O);
14340    return;
14341    break;
14342  case 24:
14343    // NOOP19rr
14344    printOperand(MI, 0, O);
14345    return;
14346    break;
14347  case 25:
14348    // VBROADCASTI64X4rm, VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQA32Z25...
14349    printi256mem(MI, 1, O);
14350    break;
14351  case 26:
14352    // VCMPPDZrmi, VCMPPSZrmi
14353    printf512mem(MI, 2, O);
14354    SStream_concat0(O, ", ");
14355    printAVXCC(MI, 7, O);
14356    return;
14357    break;
14358  case 27:
14359    // VCVTDQ2PSZrm, VMOVDQA32Zrm, VMOVDQA64Zrm, VMOVDQU16Zrm, VMOVDQU32Zrm, ...
14360    printi512mem(MI, 1, O);
14361    break;
14362  case 28:
14363    // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPH2PSZrm, VCVTPS2DQYrm, VCVTPS2PDZrm, ...
14364    printf256mem(MI, 1, O);
14365    break;
14366  case 29:
14367    // VCVTPD2DQZrm, VCVTPD2PSZrm, VCVTPD2UDQZrm, VCVTPS2DQZrm, VCVTPS2UDQZrm...
14368    printf512mem(MI, 1, O);
14369    break;
14370  case 30:
14371    // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
14372    printi64mem(MI, 3, O);
14373    SStream_concat0(O, ", ");
14374    printOperand(MI, 8, O);
14375    return;
14376    break;
14377  case 31:
14378    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
14379    printOperand(MI, 3, O);
14380    SStream_concat0(O, "}, ");
14381    break;
14382  case 32:
14383    // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
14384    printi32mem(MI, 3, O);
14385    SStream_concat0(O, ", ");
14386    printOperand(MI, 8, O);
14387    return;
14388    break;
14389  case 33:
14390    // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
14391    printi512mem(MI, 2, O);
14392    return;
14393    break;
14394  }
14395
14396
14397  // Fragment 3 encoded into 4 bits for 11 unique commands.
14398  //printf("Frag-3: %"PRIu64"\n", (Bits >> 31) & 15);
14399  switch ((Bits >> 31) & 15) {
14400  default:   // unreachable.
14401  case 0:
14402    // ADC16mi, ADC16mi8, ADC16mr, ADC16ri, ADC16ri8, ADC16rm, ADC16rr, ADC16...
14403    return;
14404    break;
14405  case 1:
14406    // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
14407    SStream_concat0(O, ", ");
14408    break;
14409  case 2:
14410    // MOV8mr_NOREX, MOV8rm_NOREX, MOV8rr_NOREX
14411    return;
14412    break;
14413  case 3:
14414    // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
14415    SStream_concat0(O, ", cl");
14416    op_addReg(MI, X86_REG_CL);
14417    return;
14418    break;
14419  case 4:
14420    // VADDPDZrmbk, VADDPDZrmk, VADDPDZrrk, VADDPSZrmbk, VADDPSZrmk, VADDPSZr...
14421    SStream_concat0(O, "}, ");
14422    break;
14423  case 5:
14424    // VADDPDZrmbkz, VADDPDZrmkz, VADDPDZrrkz, VADDPSZrmbkz, VADDPSZrmkz, VAD...
14425    SStream_concat0(O, "} {z}, ");
14426    op_addAvxZeroOpmask(MI);
14427    break;
14428  case 6:
14429    // VCMPPDZrrib, VCMPPSZrrib, VRCP28PDZrb, VRCP28PSZrb, VRSQRT28PDZrb, VRS...
14430    SStream_concat0(O, ", {sae}");
14431    op_addAvxSae(MI);
14432    return;
14433    break;
14434  case 7:
14435    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
14436    printi64mem(MI, 4, O);
14437    return;
14438    break;
14439  case 8:
14440    // VGATHERDPSZrm, VPGATHERDDZrm
14441    printi32mem(MI, 4, O);
14442    return;
14443    break;
14444  case 9:
14445    // VPABSDZrmb, VPCONFLICTDrmb, VPLZCNTDrmb
14446    SStream_concat0(O, "{1to16}");
14447    op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
14448    return;
14449    break;
14450  case 10:
14451    // VPABSQZrmb, VPCONFLICTQrmb, VPLZCNTQrmb
14452    SStream_concat0(O, "{1to8}");
14453    op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
14454    return;
14455    break;
14456  }
14457
14458
14459  // Fragment 4 encoded into 5 bits for 27 unique commands.
14460  //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 31);
14461  switch ((Bits >> 35) & 31) {
14462  default:   // unreachable.
14463  case 0:
14464    // AESKEYGENASSIST128rm, BEXTR32rm, BEXTR64rm, BEXTRI32mi, BEXTRI64mi, BZ...
14465    printOperand(MI, 6, O);
14466    break;
14467  case 1:
14468    // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rr, BEXTR64rr, BEXTRI...
14469    printOperand(MI, 2, O);
14470    break;
14471  case 2:
14472    // ANDN32rm, Int_VCVTSI2SDZrm, Int_VCVTSI2SDrm, Int_VCVTSI2SSZrm, Int_VCV...
14473    printi32mem(MI, 2, O);
14474    break;
14475  case 3:
14476    // ANDN64rm, Int_VCVTSI2SD64Zrm, Int_VCVTSI2SD64rm, Int_VCVTSI2SS64Zrm, I...
14477    printi64mem(MI, 2, O);
14478    break;
14479  case 4:
14480    // BLENDPDrmi, BLENDPSrmi, CMPPDrmi_alt, CMPPSrmi_alt, CMPSDrm_alt, CMPSS...
14481    printOperand(MI, 7, O);
14482    return;
14483    break;
14484  case 5:
14485    // BLENDPDrri, BLENDPSrri, CMPPDrri_alt, CMPPSrri_alt, CMPSDrr_alt, CMPSS...
14486    printOperand(MI, 3, O);
14487    break;
14488  case 6:
14489    // Int_VCMPSDrm, Int_VCVTSD2SSrm, VADDPDZrmb, VADDSDZrm, VADDSDrm, VADDSD...
14490    printf64mem(MI, 2, O);
14491    break;
14492  case 7:
14493    // Int_VCMPSSrm, Int_VCVTSS2SDrm, VADDPSZrmb, VADDSSZrm, VADDSSrm, VADDSS...
14494    printf32mem(MI, 2, O);
14495    break;
14496  case 8:
14497    // VADDPDYrm, VADDPSYrm, VADDSUBPDYrm, VADDSUBPSYrm, VANDNPDYrm, VANDNPSY...
14498    printf256mem(MI, 2, O);
14499    break;
14500  case 9:
14501    // VADDPDZrm, VADDPSZrm, VCMPPDZrmi_alt, VCMPPSZrmi_alt, VDIVPDZrm, VDIVP...
14502    printf512mem(MI, 2, O);
14503    break;
14504  case 10:
14505    // VADDPDrm, VADDPSrm, VADDSUBPDrm, VADDSUBPSrm, VANDNPDrm, VANDNPSrm, VA...
14506    printf128mem(MI, 2, O);
14507    break;
14508  case 11:
14509    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VBROADCASTI32X4krm...
14510    printi128mem(MI, 2, O);
14511    break;
14512  case 12:
14513    // VALIGNDrmi, VALIGNQrmi, VMOVDQA32Zrmkz, VMOVDQA64Zrmkz, VMOVDQU16Zrmkz...
14514    printi512mem(MI, 2, O);
14515    break;
14516  case 13:
14517    // VBROADCASTI64X4krm, VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMOVDQA...
14518    printi256mem(MI, 2, O);
14519    break;
14520  case 14:
14521    // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
14522    printRoundingControl(MI, 2, O);
14523    return;
14524    break;
14525  case 15:
14526    // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
14527    printf512mem(MI, 3, O);
14528    return;
14529    break;
14530  case 16:
14531    // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSDr132m, VFMADDSDr213m, VFMADDSD...
14532    printf64mem(MI, 3, O);
14533    break;
14534  case 17:
14535    // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSSr132m, VFMADDSSr213m, VFMADDSS...
14536    printf32mem(MI, 3, O);
14537    break;
14538  case 18:
14539    // VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPSr132m, VFMADDPSr2...
14540    printf128mem(MI, 3, O);
14541    return;
14542    break;
14543  case 19:
14544    // VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPSr132mY, VFMADD...
14545    printf256mem(MI, 3, O);
14546    return;
14547    break;
14548  case 20:
14549    // VMOVDQA32Z128rmk, VMOVDQA64Z128rmk, VMOVDQU16Z128rmk, VMOVDQU32Z128rmk...
14550    printi128mem(MI, 3, O);
14551    return;
14552    break;
14553  case 21:
14554    // VMOVDQA32Z256rmk, VMOVDQA64Z256rmk, VMOVDQU16Z256rmk, VMOVDQU32Z256rmk...
14555    printi256mem(MI, 3, O);
14556    return;
14557    break;
14558  case 22:
14559    // VMOVDQA32Zrmk, VMOVDQA64Zrmk, VMOVDQU16Zrmk, VMOVDQU32Zrmk, VMOVDQU64Z...
14560    printi512mem(MI, 3, O);
14561    return;
14562    break;
14563  case 23:
14564    // VPCONFLICTDrmbk, VPLZCNTDrmbk
14565    printi32mem(MI, 3, O);
14566    SStream_concat0(O, "{1to16}");
14567    op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
14568    return;
14569    break;
14570  case 24:
14571    // VPCONFLICTQrmbk, VPLZCNTQrmbk
14572    printi64mem(MI, 3, O);
14573    SStream_concat0(O, "{1to8}");
14574    op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
14575    return;
14576    break;
14577  case 25:
14578    // VPINSRBrm
14579    printi8mem(MI, 2, O);
14580    SStream_concat0(O, ", ");
14581    printOperand(MI, 7, O);
14582    return;
14583    break;
14584  case 26:
14585    // VPINSRWrmi
14586    printi16mem(MI, 2, O);
14587    SStream_concat0(O, ", ");
14588    printOperand(MI, 7, O);
14589    return;
14590    break;
14591  }
14592
14593
14594  // Fragment 5 encoded into 3 bits for 7 unique commands.
14595  //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 7);
14596  switch ((Bits >> 40) & 7) {
14597  default:   // unreachable.
14598  case 0:
14599    // AESKEYGENASSIST128rm, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr, ANDN64...
14600    return;
14601    break;
14602  case 1:
14603    // INSERTQI, VAARG_64, VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz...
14604    SStream_concat0(O, ", ");
14605    break;
14606  case 2:
14607    // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
14608    SStream_concat0(O, "{1to8}");
14609    op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
14610    return;
14611    break;
14612  case 3:
14613    // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
14614    SStream_concat0(O, "{1to16}");
14615    op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
14616    return;
14617    break;
14618  case 4:
14619    // VPCMPEQDZ128rmb, VPCMPEQQZ256rmb, VPCMPGTDZ128rmb, VPCMPGTQZ256rmb
14620    SStream_concat0(O, "{1to4}");
14621    op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
14622    return;
14623    break;
14624  case 5:
14625    // VPCMPEQQZ128rmb, VPCMPGTQZ128rmb
14626    SStream_concat0(O, "{1to2}");
14627    op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
14628    return;
14629    break;
14630  case 6:
14631    // VRCP28SDrrb, VRCP28SSrrb, VRSQRT28SDrrb, VRSQRT28SSrrb
14632    SStream_concat0(O, ", {sae}");
14633    op_addAvxSae(MI);
14634    return;
14635    break;
14636  }
14637
14638
14639  // Fragment 6 encoded into 4 bits for 16 unique commands.
14640  //printf("Frag-6: %"PRIu64"\n", (Bits >> 43) & 15);
14641  switch ((Bits >> 43) & 15) {
14642  default:   // unreachable.
14643  case 0:
14644    // INSERTQI, VALIGNDrrik, VALIGNQrrik, VFMADD213PDZrk, VFMADD213PDZrkz, V...
14645    printOperand(MI, 4, O);
14646    break;
14647  case 1:
14648    // VAARG_64, VALIGNDrmi, VALIGNQrmi, VBLENDPDYrmi, VBLENDPDrmi, VBLENDPSY...
14649    printOperand(MI, 7, O);
14650    break;
14651  case 2:
14652    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VFMADDSD4rm, VFM...
14653    printf64mem(MI, 3, O);
14654    break;
14655  case 3:
14656    // VADDPDZrmk, VADDPDZrmkz, VADDPSZrmk, VADDPSZrmkz, VBLENDMPDZrm, VBLEND...
14657    printf512mem(MI, 3, O);
14658    return;
14659    break;
14660  case 4:
14661    // VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrri, VALIGNDr...
14662    printOperand(MI, 3, O);
14663    break;
14664  case 5:
14665    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VFMADDSS4rm, VFM...
14666    printf32mem(MI, 3, O);
14667    break;
14668  case 6:
14669    // VFMADDPD4rm, VFMADDPS4rm, VFMADDSUBPD4rm, VFMADDSUBPS4rm, VFMSUBADDPD4...
14670    printf128mem(MI, 3, O);
14671    break;
14672  case 7:
14673    // VFMADDPD4rmY, VFMADDPS4rmY, VFMADDSUBPD4rmY, VFMADDSUBPS4rmY, VFMSUBAD...
14674    printf256mem(MI, 3, O);
14675    break;
14676  case 8:
14677    // VPADDDZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPMAXSDZrmbk, VPMAXUDZrmbk, VP...
14678    printi32mem(MI, 4, O);
14679    SStream_concat0(O, "{1to16}");
14680    op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
14681    return;
14682    break;
14683  case 9:
14684    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCMPEQDZ128rmbk, VPCMPEQDZ...
14685    printi32mem(MI, 3, O);
14686    break;
14687  case 10:
14688    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
14689    printi512mem(MI, 4, O);
14690    return;
14691    break;
14692  case 11:
14693    // VPADDDZrmkz, VPADDQZrmkz, VPANDDZrmkz, VPANDNDZrmkz, VPANDNQZrmkz, VPA...
14694    printi512mem(MI, 3, O);
14695    break;
14696  case 12:
14697    // VPADDQZrmbk, VPANDNQZrmbk, VPANDQZrmbk, VPMAXSQZrmbk, VPMAXUQZrmbk, VP...
14698    printi64mem(MI, 4, O);
14699    SStream_concat0(O, "{1to8}");
14700    op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
14701    return;
14702    break;
14703  case 13:
14704    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCMPEQQZ128rmbk, VPCMPEQQZ...
14705    printi64mem(MI, 3, O);
14706    break;
14707  case 14:
14708    // VPCMOVrm, VPCMPEQBZ128rmk, VPCMPEQDZ128rmk, VPCMPEQQZ128rmk, VPCMPEQWZ...
14709    printi128mem(MI, 3, O);
14710    return;
14711    break;
14712  case 15:
14713    // VPCMOVrmY, VPCMPEQBZ256rmk, VPCMPEQDZ256rmk, VPCMPEQQZ256rmk, VPCMPEQW...
14714    printi256mem(MI, 3, O);
14715    return;
14716    break;
14717  }
14718
14719
14720  // Fragment 7 encoded into 3 bits for 6 unique commands.
14721  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 7);
14722  switch ((Bits >> 47) & 7) {
14723  default:   // unreachable.
14724  case 0:
14725    // INSERTQI, VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrmi...
14726    return;
14727    break;
14728  case 1:
14729    // VAARG_64, VALIGNDrrik, VALIGNDrrikz, VALIGNQrrik, VALIGNQrrikz, VPCMPD...
14730    SStream_concat0(O, ", ");
14731    break;
14732  case 2:
14733    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VMAXPDZrmbk, VMA...
14734    SStream_concat0(O, "{1to8}");
14735    op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
14736    return;
14737    break;
14738  case 3:
14739    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VMAXPSZrmbk, VMA...
14740    SStream_concat0(O, "{1to16}");
14741    op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
14742    return;
14743    break;
14744  case 4:
14745    // VPCMPEQDZ128rmbk, VPCMPEQQZ256rmbk, VPCMPGTDZ128rmbk, VPCMPGTQZ256rmbk
14746    SStream_concat0(O, "{1to4}");
14747    op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
14748    return;
14749    break;
14750  case 5:
14751    // VPCMPEQQZ128rmbk, VPCMPGTQZ128rmbk
14752    SStream_concat0(O, "{1to2}");
14753    op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
14754    return;
14755    break;
14756  }
14757
14758
14759  // Fragment 8 encoded into 2 bits for 3 unique commands.
14760  //printf("Frag-8: %"PRIu64"\n", (Bits >> 50) & 3);
14761  switch ((Bits >> 50) & 3) {
14762  default:   // unreachable.
14763  case 0:
14764    // VAARG_64, VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZ...
14765    printOperand(MI, 8, O);
14766    return;
14767    break;
14768  case 1:
14769    // VALIGNDrrik, VALIGNQrrik
14770    printOperand(MI, 5, O);
14771    return;
14772    break;
14773  case 2:
14774    // VALIGNDrrikz, VALIGNQrrikz, VPCMPDZrrik_alt, VPCMPQZrrik_alt, VPCMPUDZ...
14775    printOperand(MI, 4, O);
14776    return;
14777    break;
14778  }
14779}
14780
14781
14782/// getRegisterName - This method is automatically generated by tblgen
14783/// from the register set description.  This returns the assembler name
14784/// for the specified register.
14785static char *getRegisterName(unsigned RegNo)
14786{
14787  // assert(RegNo && RegNo < 234 && "Invalid register number!");
14788
14789#ifndef CAPSTONE_DIET
14790  static char AsmStrs[] = {
14791  /* 0 */ 's', 't', '(', '0', ')', 0,
14792  /* 6 */ 's', 't', '(', '1', ')', 0,
14793  /* 12 */ 's', 't', '(', '2', ')', 0,
14794  /* 18 */ 's', 't', '(', '3', ')', 0,
14795  /* 24 */ 's', 't', '(', '4', ')', 0,
14796  /* 30 */ 's', 't', '(', '5', ')', 0,
14797  /* 36 */ 's', 't', '(', '6', ')', 0,
14798  /* 42 */ 's', 't', '(', '7', ')', 0,
14799  /* 48 */ 'x', 'm', 'm', '1', '0', 0,
14800  /* 54 */ 'y', 'm', 'm', '1', '0', 0,
14801  /* 60 */ 'z', 'm', 'm', '1', '0', 0,
14802  /* 66 */ 'c', 'r', '1', '0', 0,
14803  /* 71 */ 'x', 'm', 'm', '2', '0', 0,
14804  /* 77 */ 'y', 'm', 'm', '2', '0', 0,
14805  /* 83 */ 'z', 'm', 'm', '2', '0', 0,
14806  /* 89 */ 'x', 'm', 'm', '3', '0', 0,
14807  /* 95 */ 'y', 'm', 'm', '3', '0', 0,
14808  /* 101 */ 'z', 'm', 'm', '3', '0', 0,
14809  /* 107 */ 'k', '0', 0,
14810  /* 110 */ 'x', 'm', 'm', '0', 0,
14811  /* 115 */ 'y', 'm', 'm', '0', 0,
14812  /* 120 */ 'z', 'm', 'm', '0', 0,
14813  /* 125 */ 'f', 'p', '0', 0,
14814  /* 129 */ 'c', 'r', '0', 0,
14815  /* 133 */ 'd', 'r', '0', 0,
14816  /* 137 */ 'x', 'm', 'm', '1', '1', 0,
14817  /* 143 */ 'y', 'm', 'm', '1', '1', 0,
14818  /* 149 */ 'z', 'm', 'm', '1', '1', 0,
14819  /* 155 */ 'c', 'r', '1', '1', 0,
14820  /* 160 */ 'x', 'm', 'm', '2', '1', 0,
14821  /* 166 */ 'y', 'm', 'm', '2', '1', 0,
14822  /* 172 */ 'z', 'm', 'm', '2', '1', 0,
14823  /* 178 */ 'x', 'm', 'm', '3', '1', 0,
14824  /* 184 */ 'y', 'm', 'm', '3', '1', 0,
14825  /* 190 */ 'z', 'm', 'm', '3', '1', 0,
14826  /* 196 */ 'k', '1', 0,
14827  /* 199 */ 'x', 'm', 'm', '1', 0,
14828  /* 204 */ 'y', 'm', 'm', '1', 0,
14829  /* 209 */ 'z', 'm', 'm', '1', 0,
14830  /* 214 */ 'f', 'p', '1', 0,
14831  /* 218 */ 'c', 'r', '1', 0,
14832  /* 222 */ 'd', 'r', '1', 0,
14833  /* 226 */ 'x', 'm', 'm', '1', '2', 0,
14834  /* 232 */ 'y', 'm', 'm', '1', '2', 0,
14835  /* 238 */ 'z', 'm', 'm', '1', '2', 0,
14836  /* 244 */ 'c', 'r', '1', '2', 0,
14837  /* 249 */ 'x', 'm', 'm', '2', '2', 0,
14838  /* 255 */ 'y', 'm', 'm', '2', '2', 0,
14839  /* 261 */ 'z', 'm', 'm', '2', '2', 0,
14840  /* 267 */ 'k', '2', 0,
14841  /* 270 */ 'x', 'm', 'm', '2', 0,
14842  /* 275 */ 'y', 'm', 'm', '2', 0,
14843  /* 280 */ 'z', 'm', 'm', '2', 0,
14844  /* 285 */ 'f', 'p', '2', 0,
14845  /* 289 */ 'c', 'r', '2', 0,
14846  /* 293 */ 'd', 'r', '2', 0,
14847  /* 297 */ 'x', 'm', 'm', '1', '3', 0,
14848  /* 303 */ 'y', 'm', 'm', '1', '3', 0,
14849  /* 309 */ 'z', 'm', 'm', '1', '3', 0,
14850  /* 315 */ 'c', 'r', '1', '3', 0,
14851  /* 320 */ 'x', 'm', 'm', '2', '3', 0,
14852  /* 326 */ 'y', 'm', 'm', '2', '3', 0,
14853  /* 332 */ 'z', 'm', 'm', '2', '3', 0,
14854  /* 338 */ 'k', '3', 0,
14855  /* 341 */ 'x', 'm', 'm', '3', 0,
14856  /* 346 */ 'y', 'm', 'm', '3', 0,
14857  /* 351 */ 'z', 'm', 'm', '3', 0,
14858  /* 356 */ 'f', 'p', '3', 0,
14859  /* 360 */ 'c', 'r', '3', 0,
14860  /* 364 */ 'd', 'r', '3', 0,
14861  /* 368 */ 'x', 'm', 'm', '1', '4', 0,
14862  /* 374 */ 'y', 'm', 'm', '1', '4', 0,
14863  /* 380 */ 'z', 'm', 'm', '1', '4', 0,
14864  /* 386 */ 'c', 'r', '1', '4', 0,
14865  /* 391 */ 'x', 'm', 'm', '2', '4', 0,
14866  /* 397 */ 'y', 'm', 'm', '2', '4', 0,
14867  /* 403 */ 'z', 'm', 'm', '2', '4', 0,
14868  /* 409 */ 'k', '4', 0,
14869  /* 412 */ 'x', 'm', 'm', '4', 0,
14870  /* 417 */ 'y', 'm', 'm', '4', 0,
14871  /* 422 */ 'z', 'm', 'm', '4', 0,
14872  /* 427 */ 'f', 'p', '4', 0,
14873  /* 431 */ 'c', 'r', '4', 0,
14874  /* 435 */ 'd', 'r', '4', 0,
14875  /* 439 */ 'x', 'm', 'm', '1', '5', 0,
14876  /* 445 */ 'y', 'm', 'm', '1', '5', 0,
14877  /* 451 */ 'z', 'm', 'm', '1', '5', 0,
14878  /* 457 */ 'c', 'r', '1', '5', 0,
14879  /* 462 */ 'x', 'm', 'm', '2', '5', 0,
14880  /* 468 */ 'y', 'm', 'm', '2', '5', 0,
14881  /* 474 */ 'z', 'm', 'm', '2', '5', 0,
14882  /* 480 */ 'k', '5', 0,
14883  /* 483 */ 'x', 'm', 'm', '5', 0,
14884  /* 488 */ 'y', 'm', 'm', '5', 0,
14885  /* 493 */ 'z', 'm', 'm', '5', 0,
14886  /* 498 */ 'f', 'p', '5', 0,
14887  /* 502 */ 'c', 'r', '5', 0,
14888  /* 506 */ 'd', 'r', '5', 0,
14889  /* 510 */ 'x', 'm', 'm', '1', '6', 0,
14890  /* 516 */ 'y', 'm', 'm', '1', '6', 0,
14891  /* 522 */ 'z', 'm', 'm', '1', '6', 0,
14892  /* 528 */ 'x', 'm', 'm', '2', '6', 0,
14893  /* 534 */ 'y', 'm', 'm', '2', '6', 0,
14894  /* 540 */ 'z', 'm', 'm', '2', '6', 0,
14895  /* 546 */ 'k', '6', 0,
14896  /* 549 */ 'x', 'm', 'm', '6', 0,
14897  /* 554 */ 'y', 'm', 'm', '6', 0,
14898  /* 559 */ 'z', 'm', 'm', '6', 0,
14899  /* 564 */ 'f', 'p', '6', 0,
14900  /* 568 */ 'c', 'r', '6', 0,
14901  /* 572 */ 'd', 'r', '6', 0,
14902  /* 576 */ 'x', 'm', 'm', '1', '7', 0,
14903  /* 582 */ 'y', 'm', 'm', '1', '7', 0,
14904  /* 588 */ 'z', 'm', 'm', '1', '7', 0,
14905  /* 594 */ 'x', 'm', 'm', '2', '7', 0,
14906  /* 600 */ 'y', 'm', 'm', '2', '7', 0,
14907  /* 606 */ 'z', 'm', 'm', '2', '7', 0,
14908  /* 612 */ 'k', '7', 0,
14909  /* 615 */ 'x', 'm', 'm', '7', 0,
14910  /* 620 */ 'y', 'm', 'm', '7', 0,
14911  /* 625 */ 'z', 'm', 'm', '7', 0,
14912  /* 630 */ 'f', 'p', '7', 0,
14913  /* 634 */ 'c', 'r', '7', 0,
14914  /* 638 */ 'd', 'r', '7', 0,
14915  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
14916  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
14917  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
14918  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
14919  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
14920  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
14921  /* 678 */ 'x', 'm', 'm', '8', 0,
14922  /* 683 */ 'y', 'm', 'm', '8', 0,
14923  /* 688 */ 'z', 'm', 'm', '8', 0,
14924  /* 693 */ 'c', 'r', '8', 0,
14925  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
14926  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
14927  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
14928  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
14929  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
14930  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
14931  /* 733 */ 'x', 'm', 'm', '9', 0,
14932  /* 738 */ 'y', 'm', 'm', '9', 0,
14933  /* 743 */ 'z', 'm', 'm', '9', 0,
14934  /* 748 */ 'c', 'r', '9', 0,
14935  /* 752 */ 'r', '1', '0', 'b', 0,
14936  /* 757 */ 'r', '1', '1', 'b', 0,
14937  /* 762 */ 'r', '1', '2', 'b', 0,
14938  /* 767 */ 'r', '1', '3', 'b', 0,
14939  /* 772 */ 'r', '1', '4', 'b', 0,
14940  /* 777 */ 'r', '1', '5', 'b', 0,
14941  /* 782 */ 'r', '8', 'b', 0,
14942  /* 786 */ 'r', '9', 'b', 0,
14943  /* 790 */ 'r', '1', '0', 'd', 0,
14944  /* 795 */ 'r', '1', '1', 'd', 0,
14945  /* 800 */ 'r', '1', '2', 'd', 0,
14946  /* 805 */ 'r', '1', '3', 'd', 0,
14947  /* 810 */ 'r', '1', '4', 'd', 0,
14948  /* 815 */ 'r', '1', '5', 'd', 0,
14949  /* 820 */ 'r', '8', 'd', 0,
14950  /* 824 */ 'r', '9', 'd', 0,
14951  /* 828 */ 'a', 'h', 0,
14952  /* 831 */ 'b', 'h', 0,
14953  /* 834 */ 'c', 'h', 0,
14954  /* 837 */ 'd', 'h', 0,
14955  /* 840 */ 'e', 'd', 'i', 0,
14956  /* 844 */ 'r', 'd', 'i', 0,
14957  /* 848 */ 'e', 's', 'i', 0,
14958  /* 852 */ 'r', 's', 'i', 0,
14959  /* 856 */ 'a', 'l', 0,
14960  /* 859 */ 'b', 'l', 0,
14961  /* 862 */ 'c', 'l', 0,
14962  /* 865 */ 'd', 'l', 0,
14963  /* 868 */ 'd', 'i', 'l', 0,
14964  /* 872 */ 's', 'i', 'l', 0,
14965  /* 876 */ 'b', 'p', 'l', 0,
14966  /* 880 */ 's', 'p', 'l', 0,
14967  /* 884 */ 'e', 'b', 'p', 0,
14968  /* 888 */ 'r', 'b', 'p', 0,
14969  /* 892 */ 'e', 'i', 'p', 0,
14970  /* 896 */ 'r', 'i', 'p', 0,
14971  /* 900 */ 'e', 's', 'p', 0,
14972  /* 904 */ 'r', 's', 'p', 0,
14973  /* 908 */ 'c', 's', 0,
14974  /* 911 */ 'd', 's', 0,
14975  /* 914 */ 'e', 's', 0,
14976  /* 917 */ 'f', 's', 0,
14977  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
14978  /* 926 */ 's', 's', 0,
14979  /* 929 */ 'r', '1', '0', 'w', 0,
14980  /* 934 */ 'r', '1', '1', 'w', 0,
14981  /* 939 */ 'r', '1', '2', 'w', 0,
14982  /* 944 */ 'r', '1', '3', 'w', 0,
14983  /* 949 */ 'r', '1', '4', 'w', 0,
14984  /* 954 */ 'r', '1', '5', 'w', 0,
14985  /* 959 */ 'r', '8', 'w', 0,
14986  /* 963 */ 'r', '9', 'w', 0,
14987  /* 967 */ 'f', 'p', 's', 'w', 0,
14988  /* 972 */ 'e', 'a', 'x', 0,
14989  /* 976 */ 'r', 'a', 'x', 0,
14990  /* 980 */ 'e', 'b', 'x', 0,
14991  /* 984 */ 'r', 'b', 'x', 0,
14992  /* 988 */ 'e', 'c', 'x', 0,
14993  /* 992 */ 'r', 'c', 'x', 0,
14994  /* 996 */ 'e', 'd', 'x', 0,
14995  /* 1000 */ 'r', 'd', 'x', 0,
14996  /* 1004 */ 'e', 'i', 'z', 0,
14997  /* 1008 */ 'r', 'i', 'z', 0,
14998  };
14999
15000  static const uint32_t RegAsmOffset[] = {
15001    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841,
15002    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914,
15003    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008,
15004    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568,
15005    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435,
15006    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267,
15007    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694,
15008    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36,
15009    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226,
15010    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528,
15011    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683,
15012    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255,
15013    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422,
15014    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654,
15015    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782,
15016    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810,
15017    815, 959, 963, 929, 934, 939, 944, 949, 954,
15018  };
15019
15020  //int i;
15021  //for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
15022  //     printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
15023  //printf("*************************\n");
15024  return AsmStrs+RegAsmOffset[RegNo-1];
15025#else
15026  return NULL;
15027#endif
15028}
15029
15030#ifdef PRINT_ALIAS_INSTR
15031#undef PRINT_ALIAS_INSTR
15032
15033#ifndef CAPSTONE_DIET
15034
15035static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
15036  unsigned PrintMethodIdx, SStream *OS)
15037{
15038  switch (PrintMethodIdx) {
15039  default:
15040    // llvm_unreachable("Unknown PrintMethod kind");
15041    break;
15042  case 0:
15043    printf64mem(MI, OpIdx, OS);
15044    break;
15045  }
15046}
15047
15048static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
15049{
15050  #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
15051  const char *AsmString;
15052  char *tmp, *AsmMnem, *AsmOps, *c;
15053  int OpIdx, PrintMethodIdx;
15054  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
15055  switch (MCInst_getOpcode(MI)) {
15056  default: return NULL;
15057  case X86_AAD8i8:
15058    if (MCInst_getNumOperands(MI) == 1 &&
15059        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
15060        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
15061      // (AAD8i8 10)
15062      AsmString = "aad";
15063      break;
15064    }
15065    return NULL;
15066  case X86_AAM8i8:
15067    if (MCInst_getNumOperands(MI) == 1 &&
15068        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
15069        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10) {
15070      // (AAM8i8 10)
15071      AsmString = "aam";
15072      break;
15073    }
15074    return NULL;
15075  case X86_CVTSD2SI64rm:
15076    if (MCInst_getNumOperands(MI) == 6 &&
15077        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
15078        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0)) {
15079      // (CVTSD2SI64rm GR64:$dst, sdmem:$src)
15080      AsmString = "cvtsd2si	$\x01, $\xFF\x02\x01";
15081      break;
15082    }
15083    return NULL;
15084  case X86_XSTORE:
15085    if (MCInst_getNumOperands(MI) == 0) {
15086      // (XSTORE)
15087      AsmString = "xstorerng";
15088      break;
15089    }
15090    return NULL;
15091  }
15092
15093  tmp = cs_strdup(AsmString);
15094  AsmMnem = tmp;
15095  for(AsmOps = tmp; *AsmOps; AsmOps++) {
15096    if (*AsmOps == ' ' || *AsmOps == '\t') {
15097      *AsmOps = '\0';
15098      AsmOps++;
15099      break;
15100    }
15101  }
15102  SStream_concat0(OS, AsmMnem);
15103  if (*AsmOps) {
15104    SStream_concat0(OS, "\t");
15105    for (c = AsmOps; *c; c++) {
15106      if (*c == '$') {
15107        c += 1;
15108        if (*c == (char)0xff) {
15109          c += 1;
15110          OpIdx = *c - 1;
15111          c += 1;
15112          PrintMethodIdx = *c - 1;
15113          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
15114        } else
15115          printOperand(MI, *c - 1, OS);
15116      } else {
15117        SStream_concat(OS, "%c", *c);
15118      }
15119    }
15120  }
15121  return tmp;
15122}
15123
15124#endif
15125
15126#endif // PRINT_ALIAS_INSTR
15127