Searched refs:delta (Results 26 - 50 of 1585) sorted by relevance

1234567891011>>

/external/regex-re2/re2/
H A Dmake_unicode_casefold.py31 """Compute the delta for b - a. Even/odd and odd/even
45 def _AddDelta(a, delta):
46 """Return a + delta, handling EvenOdd and OddEven specially."""
47 if type(delta) == int:
48 return a+delta
49 if delta == 'EvenOdd':
54 if delta == 'OddEven':
59 print >>sys.stderr, "Bad Delta: ", delta
77 delta = r[2]
78 d = delta
[all...]
/external/chromium_org/base/test/
H A Dsimple_test_clock.cc18 void SimpleTestClock::Advance(TimeDelta delta) { argument
20 now_ += delta;
/external/chromium_org/third_party/libvpx/source/libvpx/vp9/common/
H A Dvp9_quant_common.h26 int16_t vp9_dc_quant(int qindex, int delta, vpx_bit_depth_t bit_depth);
27 int16_t vp9_ac_quant(int qindex, int delta, vpx_bit_depth_t bit_depth);
/external/chromium_org/tools/traceline/traceline/scripts/
H A Dalloc.py15 delta = e['done'] - e['ms']
19 delta, ms, tid)
/external/libvpx/libvpx/vp9/common/
H A Dvp9_quant_common.h27 int16_t vp9_dc_quant(int qindex, int delta);
28 int16_t vp9_ac_quant(int qindex, int delta);
/external/lzma/C/
H A DDelta.h16 void Delta_Encode(Byte *state, unsigned delta, Byte *data, SizeT size);
17 void Delta_Decode(Byte *state, unsigned delta, Byte *data, SizeT size);
/external/lzma/CPP/Common/
H A DDynamicBuffer.h12 size_t delta; local
14 delta = this->_capacity / 4;
16 delta = 16;
18 delta = 4;
19 delta = MyMax(delta, size);
20 size_t newCap = this->_capacity + delta;
21 if (newCap < delta)
/external/ceres-solver/internal/ceres/
H A Dlocal_parameterization.cc45 const double* delta,
48 ConstVectorRef(x, size_) + ConstVectorRef(delta, size_);
87 const double* delta,
93 x_plus_delta[i] = x[i] + delta[j++];
112 const double* delta,
115 sqrt(delta[0] * delta[0] + delta[1] * delta[1] + delta[
44 Plus(const double* x, const double* delta, double* x_plus_delta) const argument
86 Plus(const double* x, const double* delta, double* x_plus_delta) const argument
111 Plus(const double* x, const double* delta, double* x_plus_delta) const argument
[all...]
/external/chromium_org/third_party/webrtc/base/
H A Dratetracker.cc33 int delta = rtc::TimeDiff(current_time, last_units_second_time_); local
34 if (delta >= 1000) {
35 int fraction_time = delta % 1000;
36 int seconds = delta / 1000;
39 fraction_time / delta;
/external/chromium_org/ui/base/l10n/
H A Dtime_format.cc31 const base::TimeDelta& delta) {
32 return Detailed(format, length, 0, delta);
39 const base::TimeDelta& delta) {
40 if (delta < TimeDelta::FromSeconds(0)) {
61 if (delta < one_minute - half_second) {
63 const int seconds = static_cast<int>((delta + half_second).InSeconds());
66 } else if (delta < one_hour - (cutoff < 60 ? half_minute : half_second)) {
70 if (delta >= cutoff * one_minute - half_second) {
71 const int minutes = (delta + half_minute).InMinutes();
74 const int minutes = (delta
29 Simple(TimeFormat::Format format, TimeFormat::Length length, const base::TimeDelta& delta) argument
36 Detailed(TimeFormat::Format format, TimeFormat::Length length, int cutoff, const base::TimeDelta& delta) argument
[all...]
/external/chromium_org/chrome/common/extensions/docs/examples/extensions/talking_alarm_clock/
H A Dbackground.js46 var delta = (alarmTime - now);
48 if (delta >= -5000 && delta < 1000) {
56 if (delta < 0) {
57 delta += HOUR_MS * 24;
59 if (delta >= 1000) {
60 if (delta > HOUR_MS) {
61 delta = HOUR_MS;
63 console.log('Timer set for ' + delta + ' ms');
64 return window.setTimeout(resetTimers, delta);
[all...]
/external/valgrind/main/gdbserver_tests/
H A Dmcleak.stderrB.exp5 by 0x........: f (leak-delta.c:14)
6 by 0x........: main (leak-delta.c:60)
10 by 0x........: f (leak-delta.c:14)
11 by 0x........: main (leak-delta.c:60)
15 by 0x........: f (leak-delta.c:23)
16 by 0x........: main (leak-delta.c:60)
20 by 0x........: f (leak-delta.c:28)
21 by 0x........: main (leak-delta.c:60)
25 by 0x........: f (leak-delta.c:14)
26 by 0x........: main (leak-delta
[all...]
/external/chromium_org/third_party/skia/samplecode/
H A DSampleDegenerateTwoPtRadials.cpp14 static void draw_gradient2(SkCanvas* canvas, const SkRect& rect, SkScalar delta) { argument
25 SkPoint c0 = { l + 2 * w / 5 + delta, t + h / 2 };
59 SkScalar delta = fTime / 15.f; local
60 int intPart = SkScalarFloorToInt(delta);
61 delta = delta - SK_Scalar1 * intPart;
63 delta = SK_Scalar1 - delta;
65 delta -= SK_ScalarHalf;
67 delta /
[all...]
/external/skia/samplecode/
H A DSampleDegenerateTwoPtRadials.cpp14 static void draw_gradient2(SkCanvas* canvas, const SkRect& rect, SkScalar delta) { argument
25 SkPoint c0 = { l + 2 * w / 5 + delta, t + h / 2 };
59 SkScalar delta = fTime / 15.f; local
60 int intPart = SkScalarFloorToInt(delta);
61 delta = delta - SK_Scalar1 * intPart;
63 delta = SK_Scalar1 - delta;
65 delta -= SK_ScalarHalf;
67 delta /
[all...]
/external/chromium_org/sdch/open-vcdiff/src/
H A Dvcdiff_test.sh48 -dictionary $DICTIONARY_FILE -target $TARGET_FILE -delta $DELTA_FILE \
57 -delta $DELTA_FILE \
61 -delta $DELTA_FILE \
101 -delta $DELTA_FILE \
116 -delta $DELTA_FILE; } \
136 -delta $DELTA_FILE \
149 -delta $DELTA_FILE \
160 -delta $DELTA_FILE \
169 -delta $DELTA_FILE \
176 -delta
[all...]
/external/chromium_org/build/android/pylib/instrumentation/
H A Djson_perf_parser.py117 delta = val - last_val
118 if result['min'] == -1 or result['min'] > delta:
119 result['min'] = delta
120 if result['max'] == -1 or result['max'] < delta:
121 result['max'] = delta
122 total_sum += delta
124 result['data_points'].append(delta)
/external/chromium_org/third_party/icu/source/test/perf/perldriver/
H A DFormat.pm45 # Format a number, optionally with a +/- delta, to n significant
51 # @optional delta in seconds
59 my $delta = shift; # may be undef
62 if (defined($delta)) {
63 my $d = formatSigDig($sigdig, $delta*$mult);
64 # restrict PRECISION of delta to that of main number
70 # isn't rounding the $delta properly. Have to put
81 # Format a time, optionally with a +/- delta, to n significant
86 # @optional delta in seconds
93 my $delta
[all...]
/external/icu/icu4c/source/test/perf/perldriver/
H A DFormat.pm45 # Format a number, optionally with a +/- delta, to n significant
51 # @optional delta in seconds
59 my $delta = shift; # may be undef
62 if (defined($delta)) {
63 my $d = formatSigDig($sigdig, $delta*$mult);
64 # restrict PRECISION of delta to that of main number
70 # isn't rounding the $delta properly. Have to put
81 # Format a time, optionally with a +/- delta, to n significant
86 # @optional delta in seconds
93 my $delta
[all...]
/external/chromium_org/ui/compositor/
H A Dlayer_animation_sequence_unittest.cc46 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
48 LayerAnimationElement::CreateBrightnessElement(target, delta));
51 start_time += delta;
60 EXPECT_TRUE(sequence.IsFinished(start_time + delta));
81 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
83 LayerAnimationElement::CreateOpacityElement(target, delta));
88 start_time = effective_start + delta;
94 effective_start = start_time + delta;
101 sequence.Progress(effective_start + delta/2, &delegate);
103 EXPECT_TRUE(sequence.IsFinished(effective_start + delta));
124 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
211 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
246 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
263 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
[all...]
H A Dlayer_animation_element_unittest.cc32 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
35 LayerAnimationElement::CreateTransformElement(target_transform, delta));
39 start_time = effective_start_time + delta;
46 effective_start_time = start_time + delta;
50 element->Progress(effective_start_time + delta/2, &delegate);
54 EXPECT_TRUE(element->IsFinished(effective_start_time + delta,
56 EXPECT_EQ(2 * delta, element_duration);
58 element->Progress(effective_start_time + delta, &delegate);
72 base::TimeDelta delta; local
75 LayerAnimationElement::CreateTransformElement(transform, delta));
86 base::TimeDelta delta; local
102 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
140 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
177 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
217 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
252 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
287 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
323 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
363 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
402 base::TimeDelta delta = base::TimeDelta::FromSeconds(1); local
[all...]
/external/icu/icu4c/source/layout/
H A DGlyphSubstLookupProc.cpp58 le_uint32 delta = 0; local
69 delta = subtable->process(subtable, glyphIterator, success, fFilter);
77 delta = subtable->process(subtable, glyphIterator, success, fFilter);
85 delta = subtable->process(subtable, glyphIterator, success, fFilter);
93 delta = subtable->process(subtable, glyphIterator, success, fFilter);
101 delta = subtable->process(this, glyphIterator, fontInstance, success);
109 delta = subtable->process(this, glyphIterator, fontInstance, success);
117 delta = subtable->process(this, subtable, lookupType, glyphIterator, fontInstance, success); // Google patch: add subtable
125 return delta;
/external/chromium_org/ash/
H A Dkeyboard_uma_event_filter.cc42 base::TimeDelta delta = event->time_stamp() - last_keystroke_time_; local
43 if (delta < base::TimeDelta::FromSeconds(kKeystrokeThresholdInSeconds))
44 UMA_HISTOGRAM_TIMES("Keyboard.KeystrokeDeltas", delta);
/external/libunwind/include/tdep-ia64/
H A Drse.h60 long delta = rse_slot_num(addr) + num_regs; local
63 delta -= 0x3e;
64 return addr + ((num_regs + delta/0x3f) << 3);
/external/chromium_org/third_party/WebKit/public/platform/
H A DWebGestureCurveTarget.h35 virtual bool scrollBy(const WebFloatSize& delta, const WebFloatSize& velocity) = 0;
/external/guava/guava/src/com/google/common/collect/
H A DCount.java44 public int getAndAdd(int delta) { argument
46 value = result + delta;
50 public int addAndGet(int delta) { argument
51 return value += delta;

Completed in 1204 milliseconds

1234567891011>>