Searched refs:modulo (Results 1 - 25 of 42) sorted by relevance

12

/external/fio/lib/
H A Dnum2str.c17 unsigned int modulo, decimals; local
37 modulo = -1U;
43 modulo = num % thousand[!!pow2];
45 carry = modulo >= thousand[!!pow2] / 2;
49 if (modulo == -1U) {
68 sprintf(tmp, "%u", modulo);
72 modulo = (modulo + 9) / 10;
75 sprintf(buf, "%lu.%u%s%s", num, modulo, postfix[post_index],
/external/valgrind/main/none/tests/ppc32/
H A Ddata-cache-instructions.stdout.exp2 Passed dcbzl test at un-aligned (1 modulo block_size) address within the test block.
3 Passed dcbzl test at un-aligned ((block_size - 1) modulo block_size) address within the test block.
/external/valgrind/main/none/tests/ppc64/
H A Ddata-cache-instructions.stdout.exp2 Passed dcbzl test at un-aligned (1 modulo block_size) address within the test block.
3 Passed dcbzl test at un-aligned ((block_size - 1) modulo block_size) address within the test block.
/external/libcxx/test/numerics/numarray/template.gslice.array/gslice.array.comp.assign/
H A DAndroid.mk39 test_name := numerics/numarray/template.gslice.array/gslice.array.comp.assign/modulo
40 test_src := modulo.pass.cpp
/external/libcxx/test/numerics/numarray/template.indirect.array/indirect.array.comp.assign/
H A DAndroid.mk39 test_name := numerics/numarray/template.indirect.array/indirect.array.comp.assign/modulo
40 test_src := modulo.pass.cpp
/external/libcxx/test/numerics/numarray/template.mask.array/mask.array.comp.assign/
H A DAndroid.mk39 test_name := numerics/numarray/template.mask.array/mask.array.comp.assign/modulo
40 test_src := modulo.pass.cpp
/external/libcxx/test/numerics/numarray/template.slice.array/slice.arr.comp.assign/
H A DAndroid.mk39 test_name := numerics/numarray/template.slice.array/slice.arr.comp.assign/modulo
40 test_src := modulo.pass.cpp
/external/chromium_org/v8/src/
H A Dcodegen.cc31 double modulo(double x, double y) { function in namespace:v8::internal
38 double modulo(double x, double y) {
50 double modulo(double x, double y) {
H A Dcodegen.h104 double modulo(double x, double y);
/external/lldb/examples/python/
H A Dsbvalue.py196 def __ipow__(self, other, modulo):
197 result = self.__pow__(self, other, modulo)
/external/chromium_org/third_party/skia/tools/lua/
H A Dlua_pictures.cpp31 // Example usage for the modulo flag:
32 // for i in {0..5}; do lua_pictures --skpPath SKP_PATH -l YOUR_SCRIPT --modulo $i 6 &; done
33 DEFINE_string(modulo, "", "[--modulo <remainder> <divisor>]: only run tests for which "
114 SkDebugf("invalid modulo values.\n");
/external/skia/tools/lua/
H A Dlua_pictures.cpp31 // Example usage for the modulo flag:
32 // for i in {0..5}; do lua_pictures --skpPath SKP_PATH -l YOUR_SCRIPT --modulo $i 6 &; done
33 DEFINE_string(modulo, "", "[--modulo <remainder> <divisor>]: only run tests for which "
122 SkDebugf("invalid modulo values.\n");
/external/antlr/antlr-3.4/runtime/C/src/
H A Dantlr3collections.c155 table->modulo = sizeHint;
208 for (bucket = 0; bucket < table->modulo; bucket++)
283 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
344 bucket = table->buckets + (hash % table->modulo);
452 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
496 bucket = table->buckets + (hash % table->modulo);
532 hash = (ANTLR3_UINT32)(key % (ANTLR3_INTKEY)(table->modulo));
607 bucket = table->buckets + (hash % table->modulo);
733 if (en->bucket >= en->table->modulo)
791 while (en->bucket < en->table->modulo)
[all...]
/external/antlr/antlr-3.4/runtime/C/include/
H A Dantlr3collections.h111 ANTLR3_UINT32 modulo; member in struct:ANTLR3_HASH_TABLE_struct
/external/jsilver/src/com/google/clearsilver/jsilver/syntax/
H A Djsilver.sablecc499 | {modulo} [left]:factor percent [right]:value // x.y % a.b
500 {->New expression.modulo(left.expression, right.expression)}
700 | {modulo} [left]:expression [right]:expression // x % y
/external/openssl/crypto/rc4/asm/
H A Drc4-ia64.pl606 /* Do the initial few bytes via the compact, modulo-scheduled loop
721 /* Do the remaining bytes via the compact, modulo-scheduled loop */
/external/openssl/crypto/modes/asm/
H A Dghash-x86_64.pl358 my ($Xhi,$Xi,$Hkey,$modulo)=@_;
360 $code.=<<___ if (!defined($modulo));
/external/chromium_org/v8/src/compiler/
H A Dmachine-operator-reducer.cc387 return ReplaceFloat64(modulo(m.left().Value(), m.right().Value()));
/external/llvm/lib/Support/
H A DAPInt.cpp1348 /// Computes the multiplicative inverse of this APInt for a given modulo. The
1353 APInt APInt::multiplicativeInverse(const APInt& modulo) const {
1354 assert(ult(modulo) && "This APInt must be smaller than the modulo");
1364 APInt r[2] = { modulo, *this };
1378 // If this APInt and the modulo are not coprime, there is no multiplicative
1380 // remainder, which is the gcd(*this,modulo) as calculated by the Euclidean
1387 // one if necessary. A simple addition of the modulo suffices because
1389 return t[i].isNegative() ? t[i] + modulo : t[i];
/external/antlr/antlr-3.4/runtime/Ruby/test/functional/ast-output/
H A Drewrites.rb1101 result = parse( <<-'END', :modulo, 'modulo abc (x y #)' )
1106 modulo
1107 : 'modulo' ID ('(' parms+ ')')? -> ^('modulo' ID ^(PARMS parms+)?)
1114 result.should == '(modulo abc (PARMS x y #))'
/external/strace/
H A Dstrace.spec331 - new upstream version, fixes all known bugs modulo ia64 and s390 issues
/external/chromium_org/v8/test/cctest/compiler/
H A Dtest-machine-operator-reducer.cc782 R.CheckFoldBinop<double>(modulo(x, y), x, y);
/external/llvm/include/llvm/ADT/
H A DAPInt.h907 /// modulo operation because the sign follows the sign of the dividend which
1552 /// \returns the multiplicative inverse for a given modulo.
1553 APInt multiplicativeInverse(const APInt &modulo) const;
/external/libvorbis/doc/
H A D03-codebook.tex317 division ) integer modulo [codebook_lookup_values]
H A D08-residue.tex306 [temp] integer modulo [residue_classifications]

Completed in 3455 milliseconds

12