Searched refs:SignExtend64 (Results 1 - 21 of 21) sorted by relevance

/external/llvm/lib/Target/Hexagon/MCTargetDesc/
H A DHexagonInstPrinter.cpp132 Imm = SignExtend64<9>(Imm);
142 Imm = SignExtend64<10>(Imm);
152 Imm = SignExtend64<10>(Imm);
162 Imm = SignExtend64<11>(Imm);
/external/llvm/lib/Target/Mips/MCTargetDesc/
H A DMipsMCExpr.cpp174 AbsVal = SignExtend64<16>(AbsVal);
178 AbsVal = SignExtend64<16>((AbsVal + 0x8000) >> 16);
181 AbsVal = SignExtend64<16>((AbsVal + 0x80008000LL) >> 32);
184 AbsVal = SignExtend64<16>((AbsVal + 0x800080008000LL) >> 48);
/external/llvm/lib/ExecutionEngine/RuntimeDyld/Targets/
H A DRuntimeDyldMachOAArch64.h77 Addend = SignExtend64(Addend, 28);
90 Addend = SignExtend64(Addend, 33);
270 ExplicitAddend = SignExtend64(RawAddend, 24);
441 SignExtend64(readBytesUnaligned(LocalAddress, NumBytes), NumBytes * 8);
H A DRuntimeDyldMachOX86_64.h172 SignExtend64(readBytesUnaligned(LocalAddress, NumBytes), NumBytes * 8);
/external/llvm/lib/Target/Mips/
H A DMips16RegisterInfo.cpp141 Offset = SignExtend64<16>(NewImm);
H A DMipsAnalyzeImmediate.cpp94 int64_t Imm = SignExtend64<16>(Seq[0].ImmOpnd);
H A DMipsSERegisterInfo.cpp208 Offset = SignExtend64<16>(NewImm);
H A DMipsSEInstrInfo.cpp492 BuildMI(MBB, II, DL, get(LUi), Reg).addImm(SignExtend64<16>(Inst->ImmOpnd));
495 .addImm(SignExtend64<16>(Inst->ImmOpnd));
500 .addImm(SignExtend64<16>(Inst->ImmOpnd));
H A DMipsSEISelDAGToDAG.cpp788 SDValue ImmOpnd = CurDAG->getTargetConstant(SignExtend64<16>(Inst->ImmOpnd),
804 ImmOpnd = CurDAG->getTargetConstant(SignExtend64<16>(Inst->ImmOpnd), DL,
/external/llvm/lib/Target/SystemZ/Disassembler/
H A DSystemZDisassembler.cpp165 Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm)));
241 uint64_t Value = SignExtend64<N>(Imm) * 2 + Address;
284 Inst.addOperand(MCOperand::createImm(SignExtend64<20>(Disp)));
307 Inst.addOperand(MCOperand::createImm(SignExtend64<20>(Disp)));
/external/llvm/lib/Target/Mips/Disassembler/
H A DMipsDisassembler.cpp604 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
633 InsnType Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 2;
674 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
703 InsnType Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 2;
745 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
790 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
832 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
881 int64_t Imm = SignExtend64(fieldFromInstruction(insn, 0, 16), 16) * 4 + 4;
1807 int64_t Offset = SignExtend64<9>((Insn >> 7) & 0x1ff);
2288 InsnType Imm = SignExtend64(fieldFromInstructio
[all...]
/external/llvm/lib/Target/PowerPC/Disassembler/
H A DPPCDisassembler.cpp311 Inst.addOperand(MCOperand::createImm(SignExtend64<N>(Imm)));
345 Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp)));
366 Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp << 2)));
381 Inst.addOperand(MCOperand::createImm(SignExtend64<16>(Disp << 4)));
/external/swiftshader/third_party/LLVM/include/llvm/Support/
H A DMathExtras.h452 /// SignExtend64 - Sign extend B-bit number x to 64-bit int.
453 /// Usage int64_t r = SignExtend64<5>(x);
454 template <unsigned B> inline int64_t SignExtend64(uint64_t x) { function in namespace:llvm
/external/llvm/lib/Target/Hexagon/Disassembler/
H A DHexagonDisassembler.cpp647 MI, SignExtend64<T>(tmp));
648 int64_t Extended = SignExtend64<32>(FullValue);
686 HexagonMCInstrInfo::addConstant(MI, SignExtend64<12>(tmp), contextFromDecoder(Decoder));
766 MI, SignExtend64(tmp, Bits));
767 int64_t Extended = SignExtend64<32>(FullValue) + Address;
1450 operand = SignExtend64<7>((inst & 0x7f0) >> 4);
1576 operand = SignExtend64<9>(((inst & 0x1f8) >> 3) << 3);
/external/llvm/include/llvm/Support/
H A DMathExtras.h673 /// SignExtend64 - Sign extend B-bit number x to 64-bit int.
674 /// Usage int64_t r = SignExtend64<5>(x);
675 template <unsigned B> inline int64_t SignExtend64(uint64_t x) { function in namespace:llvm
681 inline int64_t SignExtend64(uint64_t X, unsigned B) { function in namespace:llvm
/external/llvm/lib/Target/AArch64/InstPrinter/
H A DAArch64InstPrinter.cpp236 << formatImm(SignExtend64(Value, RegWidth));
251 << formatImm(SignExtend64(Value, RegWidth));
265 << formatImm(SignExtend64(Value, RegWidth));
/external/llvm/lib/Support/
H A DAPInt.cpp558 int64_t lhsSext = SignExtend64(VAL, BitWidth);
559 int64_t rhsSext = SignExtend64(RHS.VAL, BitWidth);
883 int64_t sext = SignExtend64(getWord(0), BitWidth);
/external/llvm/lib/Target/Hexagon/AsmParser/
H A DHexagonAsmParser.cpp371 int64_t Extended = SignExtend64(Value, 32);
/external/llvm/lib/Target/Mips/AsmParser/
H A DMipsAsmParser.cpp956 Imm = SignExtend64<Bits>(Imm);
2162 ImmValue = SignExtend64<32>(ImmValue);
/external/llvm/lib/CodeGen/SelectionDAG/
H A DSelectionDAG.cpp1270 Offset = SignExtend64(Offset, BitWidth);
/external/llvm/lib/Target/SystemZ/
H A DSystemZISelLowering.cpp4039 int64_t SignedValue = SignExtend64(Value, BitsPerElement);

Completed in 552 milliseconds