Searched refs:emit (Results 226 - 250 of 492) sorted by relevance

1234567891011>>

/external/tensorflow/tensorflow/contrib/seq2seq/python/ops/
H A Ddecoder.py117 Most decoders will emit a true/false `finished` value independently
273 emit = nest.map_structure(
278 emit = next_outputs
297 outputs_ta, emit)
/external/toybox/toys/posix/
H A Dsed.c10 * TODO: handle error return from emit(), error_msg/exit consistently
203 static int emit(char *line, long len, int eol) function
362 if (!command->hit) emit(str, strlen(str), 1);
407 emit(str, strlen(str), 1);
421 emit(toybuf, off, 1);
432 emit(toybuf, off, 1);
454 if (emit(line, l ? l-line : len, eol)) break;
547 if (command->sflags & 4) emit(line, len, eol);
557 // Swap out emit() context
568 if (emit(lin
[all...]
/external/valgrind/VEX/priv/
H A Dmain_main.c721 Int (*emit) ( /*MB_MOD*/Bool*,
748 emit = NULL;
866 emit = CAST_TO_TYPEOF(emit) X86FN(emit_X86Instr);
883 emit = CAST_TO_TYPEOF(emit) AMD64FN(emit_AMD64Instr);
899 emit = CAST_TO_TYPEOF(emit) PPC32FN(emit_PPCInstr);
915 emit = CAST_TO_TYPEOF(emit) PPC64F
[all...]
/external/mesa3d/src/mesa/main/
H A Dff_fragment_shader.cpp474 /* if alpha test is enabled we need to emit at least one color */
629 * operands match, we can emit fewer instructions.
810 p->emit(assign(temp_var, val));
821 p->emit(assign(temp_var, val));
834 p->emit(assign(temp_var, val, WRITEMASK_XYZ));
843 p->emit(assign(temp_var, val, WRITEMASK_W));
904 p->emit(p->src_texture[unit]);
906 p->emit(assign(p->src_texture[unit], new(p->mem_ctx) ir_constant(0.0f)));
1002 p->emit(assign(p->src_texture[unit], tex));
1073 p->emit(assig
[all...]
/external/mesa3d/src/gallium/auxiliary/gallivm/
H A Dlp_bld_tgsi.c121 assert(action->emit);
122 action->emit(action, bld_base, emit_data);
264 if (!action->emit) {
294 action->emit(action, bld_base, &emit_data);
306 action->emit(action, bld_base, &emit_data);
433 /* convert offset "register" to ordinary register so can use normal emit funcs */
H A Dlp_bld_tgsi_soa.c2000 * emit some debug code.
3479 " +++ emit vertex masked ones = ",
3482 " +++ emit vertex emitted = ",
3986 bld.bld_base.op_actions[TGSI_OPCODE_BGNLOOP].emit = bgnloop_emit;
3987 bld.bld_base.op_actions[TGSI_OPCODE_BGNSUB].emit = bgnsub_emit;
3988 bld.bld_base.op_actions[TGSI_OPCODE_BRK].emit = brk_emit;
3989 bld.bld_base.op_actions[TGSI_OPCODE_BREAKC].emit = breakc_emit;
3990 bld.bld_base.op_actions[TGSI_OPCODE_CAL].emit = cal_emit;
3991 bld.bld_base.op_actions[TGSI_OPCODE_CASE].emit = case_emit;
3992 bld.bld_base.op_actions[TGSI_OPCODE_CONT].emit
[all...]
/external/snakeyaml/src/test/java/org/pyyaml/
H A DPyEmitterTest.java75 emitter.emit(event);
162 // emit
163 String data = emit(styledEvents);
202 private String emit(List<Event> events) throws IOException { method in class:PyEmitterTest
206 emitter.emit(event);
236 emitter.emit(event);
/external/webrtc/webrtc/base/
H A Dsigslot.h274 virtual void emit() = 0;
285 virtual void emit(arg1_type) = 0;
296 virtual void emit(arg1_type, arg2_type) = 0;
307 virtual void emit(arg1_type, arg2_type, arg3_type) = 0;
318 virtual void emit(arg1_type, arg2_type, arg3_type, arg4_type) = 0;
330 virtual void emit(arg1_type, arg2_type, arg3_type, arg4_type,
345 virtual void emit(arg1_type, arg2_type, arg3_type, arg4_type, arg5_type,
360 virtual void emit(arg1_type, arg2_type, arg3_type, arg4_type, arg5_type,
375 virtual void emit(arg1_type, arg2_type, arg3_type, arg4_type, arg5_type,
1759 virtual void emit() function in class:sigslot::_connection0
1804 virtual void emit(arg1_type a1) function in class:sigslot::_connection1
1850 virtual void emit(arg1_type a1, arg2_type a2) function in class:sigslot::_connection2
1896 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3) function in class:sigslot::_connection3
1944 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3, function in class:sigslot::_connection4
1998 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::_connection5
2052 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::_connection6
2106 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::_connection7
2162 virtual void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::_connection8
2208 void emit() function in class:sigslot::signal0
2272 void emit(arg1_type a1) function in class:sigslot::signal1
2337 void emit(arg1_type a1, arg2_type a2) function in class:sigslot::signal2
2403 void emit(arg1_type a1, arg2_type a2, arg3_type a3) function in class:sigslot::signal3
2470 void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4) function in class:sigslot::signal4
2540 void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::signal5
2614 void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::signal6
2689 void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::signal7
2765 void emit(arg1_type a1, arg2_type a2, arg3_type a3, arg4_type a4, function in class:sigslot::signal8
[all...]
/external/python/cpython3/Lib/logging/
H A Dhandlers.py63 def emit(self, record): member in class:BaseRotatingHandler
73 logging.FileHandler.emit(self, record)
422 watches the file to see if it has changed since the last emit.
474 def emit(self, record): member in class:WatchedFileHandler
482 logging.FileHandler.emit(self, record)
623 def emit(self, record): member in class:SocketHandler
890 def emit(self, record): member in class:SysLogHandler
974 def emit(self, record): member in class:SMTPHandler
1074 def emit(self, record): member in class:NTEventLogHandler
1137 def emit(sel member in class:HTTPHandler
1204 def emit(self, record): member in class:BufferingHandler
1364 def emit(self, record): member in class:QueueHandler
[all...]
/external/mesa3d/src/compiler/glsl/tests/
H A Darray_refcount_test.cpp417 body->emit(assign(var_a, deref_array(var_c, var_b)));
452 body->emit(assign(var_a, deref_array(var_c, var_b)));
492 body->emit(assign(var_a,
523 body->emit(assign(var_a,
558 body->emit(assign(var_a,
602 body->emit(assign(var_a,
689 body->emit(assign(var_a,
/external/swiftshader/third_party/subzero/src/
H A DIceInstX86Base.h210 // Shared emit routines for common forms of instructions.
296 void emit(const Cfg *Func) const override;
356 void emit(const Cfg *Func) const override;
433 void emit(const Cfg *Func) const override;
464 void emit(const Cfg *Func) const override;
487 void emit(const Cfg *Func) const override;
569 void emit(const Cfg *Func) const override {
575 this->getSrc(0)->emit(Func);
616 void emit(const Cfg *Func) const override {
630 this->getSrc(0)->emit(Fun
[all...]
H A DIceTargetLowering.h326 virtual void emit(const ConstantInteger32 *C) const = 0;
327 virtual void emit(const ConstantInteger64 *C) const = 0;
328 virtual void emit(const ConstantFloat *C) const = 0;
329 virtual void emit(const ConstantDouble *C) const = 0;
330 virtual void emit(const ConstantUndef *C) const = 0;
331 virtual void emit(const ConstantRelocatable *CR) const = 0;
374 /// AutoBundle objects will emit a _bundle_lock during construction (but only
634 /// For now, we assume .long is the right directive for emitting 4 byte emit
/external/v8/src/x87/
H A Dassembler-x87.cc321 emit(x);
329 emit(imm32);
445 emit(imm32);
452 emit(x);
459 emit(handle);
481 emit(x);
489 emit(handle);
859 emit(imm32);
1128 emit(imm);
1158 emit(im
[all...]
/external/mesa3d/src/mesa/drivers/dri/i915/
H A Di830_vtbl.c133 GLuint emit; local
138 emit = EMIT_3F;
146 emit = EMIT_2F;
151 emit = EMIT_3F_XYW;
160 EMIT_ATTR(_TNL_ATTRIB_TEX0 + i, emit, 0);
176 /* Only need to change the vertex emit code if there has been a
369 #define emit( intel, state, size ) \ macro
428 * emit header.
462 _mesa_error(ctx, GL_OUT_OF_MEMORY, "i830 emit state");
482 emit(inte
[all...]
/external/python/cpython2/Lib/logging/
H A Dhandlers.py68 def emit(self, record): member in class:BaseRotatingHandler
78 logging.FileHandler.emit(self, record)
376 watches the file to see if it has changed since the last emit.
400 def emit(self, record): member in class:WatchedFileHandler
430 logging.FileHandler.emit(self, record)
568 def emit(self, record): member in class:SocketHandler
837 def emit(self, record): member in class:SysLogHandler
918 def emit(self, record): member in class:SMTPHandler
1018 def emit(self, record): member in class:NTEventLogHandler
1076 def emit(sel member in class:HTTPHandler
1135 def emit(self, record): member in class:BufferingHandler
[all...]
/external/emma/core/java12/com/vladium/emma/instr/
H A DInstrVisitor.java1130 blocks.m_header.emit (emitctx);
1144 block.emit (emitctx, code);
1436 void emit (final EmitCtx ctx, final byte [] code) // TODO: move 'code' into 'ctx' method in class:InstrVisitor.Block
1449 m_insertion.emit (ctx);
1452 m_branch.emit (ctx);
1496 abstract void emit (EmitCtx ctx); method in class:InstrVisitor.Branch
1569 void emit (final EmitCtx ctx) method in class:InstrVisitor.TERMINATE
1587 void emit (final EmitCtx ctx) method in class:InstrVisitor.RET
1619 void emit (final EmitCtx ctx) method in class:InstrVisitor.JUMP2
1643 void emit (fina method in class:InstrVisitor.JUMP4
1665 void emit (final EmitCtx ctx) method in class:InstrVisitor.IFJUMP2
1690 void emit (final EmitCtx ctx) method in class:InstrVisitor.LOOKUPSWITCH
1740 void emit (final EmitCtx ctx) method in class:InstrVisitor.TABLESWITCH
1794 abstract void emit (EmitCtx ctx); method in class:InstrVisitor.CodeSegment
1859 void emit (final EmitCtx ctx) method in class:InstrVisitor.clinitHeader
1942 void emit (final EmitCtx ctx) method in class:InstrVisitor.methodHeader
1997 void emit (final EmitCtx ctx) method in class:InstrVisitor.BlockSegment
[all...]
/external/mesa3d/src/mesa/drivers/dri/r200/
H A Dr200_state_init.c218 * If it is active check function returns maximum emit size.
650 rmesa->hw.ctx.emit = ctx_emit_cs;
688 rmesa->hw.tex[i].emit = tex_emit_mm;
696 rmesa->hw.cube[i].emit = cube_emit_cs;
826 rmesa->hw.mtl[0].emit = mtl_emit;
827 rmesa->hw.mtl[1].emit = mtl_emit;
829 rmesa->hw.vpi[0].emit = veclinear_emit;
830 rmesa->hw.vpi[1].emit = veclinear_emit;
831 rmesa->hw.vpp[0].emit = veclinear_emit;
832 rmesa->hw.vpp[1].emit
[all...]
/external/mesa3d/src/mesa/drivers/dri/i965/
H A Dbrw_sampler_state.c645 .emit = brw_upload_fs_samplers,
664 .emit = brw_upload_vs_samplers,
687 .emit = brw_upload_gs_samplers,
710 .emit = brw_upload_tcs_samplers,
733 .emit = brw_upload_tes_samplers,
754 .emit = brw_upload_cs_samplers,
H A Dbrw_cc.c86 .emit = brw_upload_cc_vp
259 .emit = upload_cc_unit,
281 .emit = upload_blend_constant_color
/external/mesa3d/src/gallium/auxiliary/draw/
H A Ddraw_pipe_vbuf.c50 * Vertex buffer emit stage.
124 * Extract the needed fields from post-transformed vertex and emit
233 output_format = draw_translate_vinfo_format(vinfo->attrib[i].emit);
234 emit_sz = draw_translate_vinfo_size(vinfo->attrib[i].emit);
239 if (vinfo->attrib[i].emit == EMIT_1F_PSIZE) {
/external/mesa3d/src/mesa/drivers/dri/nouveau/
H A Dnv04_render.c54 swtnl_emit_attr(struct gl_context *ctx, struct tnl_attr_map *m, int attr, int emit) argument
61 .format = emit,
66 .offset = _tnl_format_info[emit].attrsize,
157 /* emit engine state */
/external/mesa3d/src/mesa/drivers/dri/radeon/
H A Dradeon_maos_vbtmp.h37 static void TAG(emit)( struct gl_context *ctx, function
292 setup_tab[IDX].emit = TAG(emit);
/external/swiftshader/third_party/LLVM/utils/TableGen/
H A DARMDecoderEmitter.cpp321 void emit(raw_ostream &o, unsigned &Indentation);
531 bool emit(raw_ostream &o, unsigned &Indentation);
626 // See also Filter::emit().
659 void ARMFilter::emit(raw_ostream &o, unsigned &Indentation) { function in class:ARMFilter
700 bool finished = filterIterator->second->emit(o, Indentation);
758 // Run the target specific emit hook.
815 emit(o, Indentation);
838 emit(o, Indentation);
1068 Best.getVariableFC().emit(o, Indentation);
1362 bool ARMFilterChooser::emit(raw_ostrea function in class:ARMFilterChooser
1732 void ARMDecoderEmitter::ARMDEBackend::emit(raw_ostream &o) { function in class:ARMDecoderEmitter::ARMDEBackend
[all...]
/external/vulkan-validation-layers/scripts/
H A Dcgenerator.py103 # beginFeature(interface, emit)
170 def beginFeature(self, interface, emit):
172 OutputGenerator.beginFeature(self, interface, emit)
181 if (self.emit):
225 # generating a structure. Otherwise, emit the tag text.
232 # If the resulting text is an empty string, don't emit it.
/external/autotest/client/common_lib/feedback/
H A Dclient.py231 This class mandates that prepare() is called first, then emit(), and
254 def emit(self): member in class:InputQuery
255 """Instructs the tester to emit a feedback to be captured by the test.

Completed in 4392 milliseconds

1234567891011>>