Searched defs:IsSigned (Results 1 - 25 of 25) sorted by relevance

/external/eigen/Eigen/src/Core/
H A DNumTraits.h42 * \li An enum value \a IsSigned. It is equal to \c 1 if \a T is a signed type and to 0 if \a T is unsigned.
55 IsSigned = std::numeric_limits<T>::is_signed, enumerator in enum:Eigen::GenericNumTraits::__anon20662
137 IsSigned = NumTraits<Scalar>::IsSigned,
/external/clang/lib/CodeGen/
H A DCGRecordLayout.h75 unsigned IsSigned : 1; member in struct:clang::CodeGen::CGBitFieldInfo
85 : Offset(), Size(), IsSigned(), StorageSize(), StorageAlignment() {}
87 CGBitFieldInfo(unsigned Offset, unsigned Size, bool IsSigned, argument
89 : Offset(Offset), Size(Size), IsSigned(IsSigned),
H A DCGRecordLayoutBuilder.cpp216 Info.IsSigned = FD->getType()->isSignedIntegerOrEnumerationType();
616 bool IsSigned = FD->getType()->isSignedIntegerOrEnumerationType(); local
639 return CGBitFieldInfo(Offset, Size, IsSigned, StorageSize, StorageAlignment);
806 << " IsSigned:" << IsSigned
/external/llvm/lib/Transforms/Utils/
H A DSimplifyIndVar.cpp82 bool IsSigned);
195 bool IsSigned) {
211 if ((!IsSigned || SE->isKnownNonNegative(S)) &&
212 SE->isKnownPredicate(IsSigned ? ICmpInst::ICMP_SLT : ICmpInst::ICMP_ULT,
219 if (IsSigned && !SE->isKnownNonNegative(LessOne))
222 if (!SE->isKnownPredicate(IsSigned ?
252 bool IsSigned = Rem->getOpcode() == Instruction::SRem; local
253 if (IsSigned || Rem->getOpcode() == Instruction::URem) {
254 eliminateIVRemainder(Rem, IVOperand, IsSigned);
193 eliminateIVRemainder(BinaryOperator *Rem, Value *IVOperand, bool IsSigned) argument
/external/chromium_org/third_party/WebKit/Source/platform/
H A DCheckedInt.h193 struct IsSigned struct in namespace:blink::detail
203 IsSigned<IntegerType>::value
234 IsSigned<IntegerType>::value
277 bool IsTSigned = IsSigned<T>::value,
278 bool IsUSigned = IsSigned<U>::value>
303 bool IsTSigned = IsSigned<T>::value,
304 bool IsUSigned = IsSigned<U>::value,
375 return IsSigned<T>::value
391 return IsSigned<T>::value
397 bool IsSigned
[all...]
/external/clang/lib/Frontend/
H A DInitPreprocessor.cpp219 bool IsSigned = TI.isTypeSigned(Ty); local
224 Ty = IsSigned ? TI.getInt64Type() : TI.getIntTypeByWidth(64, false);
226 const char *Prefix = IsSigned ? "__INT" : "__UINT";
240 bool IsSigned = TI.isTypeSigned(Ty); local
245 Ty = IsSigned ? TI.getInt64Type() : TI.getIntTypeByWidth(64, false);
247 const char *Prefix = IsSigned ? "__INT" : "__UINT";
251 static void DefineLeastWidthIntType(unsigned TypeWidth, bool IsSigned, argument
254 TargetInfo::IntType Ty = TI.getLeastIntTypeByWidth(TypeWidth, IsSigned);
258 const char *Prefix = IsSigned ? "__INT_LEAST" : "__UINT_LEAST";
263 static void DefineFastIntType(unsigned TypeWidth, bool IsSigned, argument
[all...]
/external/ceres-solver/include/ceres/
H A Djet.h657 IsSigned, enumerator in enum:Eigen::NumTraits::__anon1757
/external/llvm/lib/CodeGen/AsmPrinter/
H A DDIE.h256 static dwarf::Form BestForm(bool IsSigned, uint64_t Int) { argument
257 if (IsSigned) {
/external/llvm/lib/ExecutionEngine/
H A DExecutionEngineBindings.cpp48 LLVMBool IsSigned) {
50 GenVal->IntVal = APInt(unwrap<IntegerType>(Ty)->getBitWidth(), N, IsSigned);
80 LLVMBool IsSigned) {
82 if (IsSigned)
46 LLVMCreateGenericValueOfInt(LLVMTypeRef Ty, unsigned long long N, LLVMBool IsSigned) argument
79 LLVMGenericValueToInt(LLVMGenericValueRef GenValRef, LLVMBool IsSigned) argument
/external/llvm/lib/Target/AArch64/InstPrinter/
H A DAArch64InstPrinter.cpp75 bool IsSigned = (Opcode == AArch64::SBFMXri || Opcode == AArch64::SBFMWri); local
84 if (IsSigned)
90 if (IsSigned)
97 if (Is64Bit && IsSigned)
148 O << '\t' << (IsSigned ? "sbfiz" : "ubfiz") << '\t'
156 O << '\t' << (IsSigned ? "sbfx" : "ubfx") << '\t'
/external/llvm/lib/Target/NVPTX/
H A DNVPTXISelDAGToDAG.cpp3272 bool IsSigned = false; local
3430 IsSigned = true;
3446 if (IsSigned) {
3452 if (IsSigned) {
H A DNVPTXISelLowering.cpp2888 /// the operands is placed in \p IsSigned.
2891 bool &IsSigned) {
2903 IsSigned = (LHSSign == Signed);
2889 AreMulWideOperandsDemotable(SDValue LHS, SDValue RHS, unsigned OptSize, bool &IsSigned) argument
/external/llvm/include/llvm/MC/
H A DMCAssembler.h465 /// IsSigned - True if this is a sleb128, false if uleb128.
466 bool IsSigned; member in class:llvm::MCLEBFragment
473 Value(&Value_), IsSigned(IsSigned_) { Contents.push_back(0); }
480 bool isSigned() const { return IsSigned; }
/external/llvm/lib/Target/PowerPC/
H A DPPCFastISel.cpp131 bool SelectIToFP(const Instruction *I, bool IsSigned);
132 bool SelectFPToI(const Instruction *I, bool IsSigned);
162 unsigned SrcReg, bool IsSigned);
163 unsigned PPCMoveToFPReg(MVT VT, unsigned SrcReg, bool IsSigned);
875 bool IsSigned) {
880 if (!PPCEmitIntExt(MVT::i32, SrcReg, MVT::i64, TmpReg, !IsSigned))
899 if (!IsSigned) {
910 if (!PPCEmitLoad(MVT::f64, ResultReg, Addr, RC, !IsSigned, LoadOpc))
917 bool PPCFastISel::SelectIToFP(const Instruction *I, bool IsSigned) { argument
943 if (!IsSigned
874 PPCMoveToFPReg(MVT SrcVT, unsigned SrcReg, bool IsSigned) argument
991 PPCMoveToIntReg(const Instruction *I, MVT VT, unsigned SrcReg, bool IsSigned) argument
1024 SelectFPToI(const Instruction *I, bool IsSigned) argument
[all...]
/external/llvm/lib/Transforms/Scalar/
H A DIndVarSimplify.cpp653 bool IsSigned; // Was an sext user seen before a zext? member in struct:__anon26283::WideIVInfo
656 IsSigned(false) {}
665 bool IsSigned = Cast->getOpcode() == Instruction::SExt; local
666 if (!IsSigned && Cast->getOpcode() != Instruction::ZExt)
676 WI.IsSigned = IsSigned;
681 if (WI.IsSigned != IsSigned)
713 bool IsSigned; member in class:__anon26284::WidenIV
736 IsSigned(W
777 getExtend(Value *NarrowOper, Type *WideType, bool IsSigned, Instruction *Use) argument
[all...]
H A DLoopStrengthReduce.cpp1832 bool IsSigned = false; local
1847 IsSigned = false;
1851 IsSigned = true;
1881 Constant *NewInit = ConstantFP::get(DestTy, IsSigned ?
/external/llvm/lib/Transforms/InstCombine/
H A DInstCombineCompares.cpp39 bool IsSigned) {
40 if (!IsSigned)
51 Constant *In2, bool IsSigned = false) {
60 IsSigned))
68 IsSigned);
73 bool IsSigned) {
74 if (!IsSigned)
86 Constant *In2, bool IsSigned = false) {
95 IsSigned))
103 IsSigned);
37 HasAddOverflow(ConstantInt *Result, ConstantInt *In1, ConstantInt *In2, bool IsSigned) argument
71 HasSubOverflow(ConstantInt *Result, ConstantInt *In1, ConstantInt *In2, bool IsSigned) argument
[all...]
/external/clang/lib/Sema/
H A DSemaStmt.cpp667 static void AdjustAPSInt(llvm::APSInt &Val, unsigned BitWidth, bool IsSigned) { argument
672 Val.setIsSigned(IsSigned);
/external/llvm/lib/Target/AArch64/AsmParser/
H A DAArch64AsmParser.cpp1539 bool IsSigned = ET == AArch64_AM::SXTW || ET == AArch64_AM::SXTX; local
1540 Inst.addOperand(MCOperand::CreateImm(IsSigned));
1551 bool IsSigned = ET == AArch64_AM::SXTW || ET == AArch64_AM::SXTX; local
1552 Inst.addOperand(MCOperand::CreateImm(IsSigned));
/external/llvm/lib/Transforms/Instrumentation/
H A DMemorySanitizer.cpp1564 bool IsSigned = I.isSigned(); local
1566 getLowestPossibleValue(IRB, A, Sa, IsSigned),
1567 getHighestPossibleValue(IRB, B, Sb, IsSigned));
1569 getHighestPossibleValue(IRB, A, Sa, IsSigned),
1570 getLowestPossibleValue(IRB, B, Sb, IsSigned));
/external/llvm/bindings/ocaml/llvm/
H A Dllvm_ocaml.c816 value IsSigned) {
817 return LLVMConstIntCast(CV, T, Bool_val(IsSigned));
815 llvm_const_intcast(LLVMValueRef CV, LLVMTypeRef T, value IsSigned) argument
/external/llvm/lib/Target/AArch64/
H A DAArch64ISelLowering.cpp1115 bool IsSigned = (Op.getOpcode() == ISD::SMULO) ? true : false; local
1117 unsigned ExtendOpc = IsSigned ? ISD::SIGN_EXTEND : ISD::ZERO_EXTEND;
1132 if (IsSigned) {
1166 if (IsSigned) {
/external/eclipse-basebuilder/basebuilder-3.6.2/org.eclipse.releng.basebuilder/plugins/org.apache.ant_1.7.1.v20090120-1145/lib/
H A Dant.jarMETA-INF/ META-INF/MANIFEST.MF org/ org/apache/ org/apache/tools/ org/apache/tools/ant/ ...
/external/jarjar/lib/
H A Dapache-ant-1.9.4.jarMETA-INF/ META-INF/MANIFEST.MF org/ org/apache/ org/apache/tools/ org/apache/tools/ant/ ...
/external/owasp/sanitizer/tools/findbugs/lib/
H A Dant.jarMETA-INF/ META-INF/MANIFEST.MF org/ org/apache/ org/apache/tools/ org/apache/tools/ant/ ...

Completed in 6136 milliseconds